From a564ed823c255a95cff143cf02757cdbf55f14f9 Mon Sep 17 00:00:00 2001 From: Trygve Laugstøl Date: Sat, 16 Feb 2013 13:25:42 +0100 Subject: o Using a record for the mcu bus. --- vhdl/ram-ice.xise | 54 ++++++++++++++++++++++++++++++++---------------------- 1 file changed, 32 insertions(+), 22 deletions(-) (limited to 'vhdl/ram-ice.xise') diff --git a/vhdl/ram-ice.xise b/vhdl/ram-ice.xise index a5bd50b..77f5b5a 100644 --- a/vhdl/ram-ice.xise +++ b/vhdl/ram-ice.xise @@ -15,14 +15,6 @@ - - - - - - - - @@ -39,12 +31,12 @@ - + - + @@ -59,53 +51,71 @@ - + - + - + - + - + + + + + + + + + + + + + + + + + + - - - + + + - - - + + + + - + -- cgit v1.2.3