From 84df52f9ea78e2d71bde648a16b69d80404c6421 Mon Sep 17 00:00:00 2001 From: zwelch Date: Tue, 23 Jun 2009 22:42:54 +0000 Subject: - Fixes '=' whitespace - Replace ')\(=\)\(\w\)' with ') \1 \2'. - Replace '\(\w\)\(=\)(' with '\1 \2 ('. - Replace '\(\w\)\(=\)\(\w\)' with '\1 \2 \3'. git-svn-id: svn://svn.berlios.de/openocd/trunk@2372 b42882b7-edfa-0310-969c-e2dbd0fdcd60 --- src/flash/at91sam7.c | 30 +++++++-------- src/flash/cfi.c | 10 ++--- src/flash/ecos.c | 56 ++++++++++++++-------------- src/flash/flash.c | 26 ++++++------- src/flash/flash.h | 8 ++-- src/flash/lpc2000.c | 2 +- src/flash/mflash.c | 6 +-- src/flash/ocl.c | 4 +- src/flash/ocl/at91sam7x/main.c | 30 +++++++-------- src/flash/ocl/at91sam7x/samflash.c | 64 ++++++++++++++++---------------- src/flash/pic32mx.c | 16 ++++---- src/flash/stm32x.c | 2 +- src/flash/str9x.c | 32 ++++++++-------- src/flash/tms470.c | 76 +++++++++++++++++++------------------- 14 files changed, 181 insertions(+), 181 deletions(-) (limited to 'src/flash') diff --git a/src/flash/at91sam7.c b/src/flash/at91sam7.c index 8b51b95b..6fb3440d 100644 --- a/src/flash/at91sam7.c +++ b/src/flash/at91sam7.c @@ -232,10 +232,10 @@ static void at91sam7_set_flash_mode(flash_bank_t *bank, int mode) if (fmcn > 0xFF) fmcn = 0xFF; - /* Only allow fmcn=0 if clock period is > 30 us = 33kHz. */ + /* Only allow fmcn = 0 if clock period is > 30 us = 33kHz. */ if (at91sam7_info->mck_freq <= 33333ul) fmcn = 0; - /* Only allow fws=0 if clock frequency is < 30 MHz. */ + /* Only allow fws = 0 if clock frequency is < 30 MHz. */ if (at91sam7_info->mck_freq > 30000000ul) fws = 1; @@ -550,7 +550,7 @@ static int at91sam7_read_part_info(struct flash_bank_s *bank) /* calculate bank size */ bank_size = sectors_num * pages_per_sector * page_size; - for (bnk=0; bnk 0) { @@ -575,7 +575,7 @@ static int at91sam7_read_part_info(struct flash_bank_s *bank) /* allocate sectors */ t_bank->sectors = malloc(sectors_num * sizeof(flash_sector_t)); - for (sec=0; secsectors[sec].offset = sec * pages_per_sector * page_size; t_bank->sectors[sec].size = pages_per_sector * page_size; @@ -639,7 +639,7 @@ static int at91sam7_erase_check(struct flash_bank_s *bank) at91sam7_set_flash_mode(bank, FMR_TIMING_FLASH); fast_check = 1; - for (nSector=0; nSectornum_sectors; nSector++) + for (nSector = 0; nSectornum_sectors; nSector++) { retval = target_blank_check_memory(target, bank->base+bank->sectors[nSector].offset, bank->sectors[nSector].size, &blank); @@ -662,7 +662,7 @@ static int at91sam7_erase_check(struct flash_bank_s *bank) LOG_USER("Running slow fallback erase check - add working memory"); buffer = malloc(bank->sectors[0].size); - for (nSector=0; nSectornum_sectors; nSector++) + for (nSector = 0; nSectornum_sectors; nSector++) { bank->sectors[nSector].is_erased = 1; retval = target_read_memory(target, bank->base+bank->sectors[nSector].offset, 4, @@ -670,7 +670,7 @@ static int at91sam7_erase_check(struct flash_bank_s *bank) if (retval != ERROR_OK) return retval; - for (nByte=0; nBytesectors[nSector].size; nByte++) + for (nByte = 0; nBytesectors[nSector].size; nByte++) { if (buffer[nByte] != 0xFF) { @@ -705,7 +705,7 @@ static int at91sam7_protect_check(struct flash_bank_s *bank) at91sam7_info->lockbits = (status >> 16); at91sam7_info->num_lockbits_on = 0; - for (lock_pos=0; lock_posnum_sectors; lock_pos++) + for (lock_pos = 0; lock_posnum_sectors; lock_pos++) { if ( ((status >> (16+lock_pos))&(0x0001)) == 1) { @@ -723,7 +723,7 @@ static int at91sam7_protect_check(struct flash_bank_s *bank) at91sam7_info->nvmbits = (status >> 8)&0xFF; at91sam7_info->num_nvmbits_on = 0; - for (gpnvm_pos=0; gpnvm_posnum_nvmbits; gpnvm_pos++) + for (gpnvm_pos = 0; gpnvm_posnum_nvmbits; gpnvm_pos++) { if ( ((status >> (8+gpnvm_pos))&(0x01)) == 1) { @@ -809,7 +809,7 @@ static int at91sam7_flash_bank_command(struct command_context_s *cmd_ctx, char * /* calculate bank size */ bank_size = num_sectors * pages_per_sector * page_size; - for (bnk=0; bnk 0) { @@ -834,7 +834,7 @@ static int at91sam7_flash_bank_command(struct command_context_s *cmd_ctx, char * /* allocate sectors */ t_bank->sectors = malloc(num_sectors * sizeof(flash_sector_t)); - for (sec=0; secsectors[sec].offset = sec * pages_per_sector * page_size; t_bank->sectors[sec].size = pages_per_sector * page_size; @@ -902,7 +902,7 @@ static int at91sam7_erase(struct flash_bank_s *bank, int first, int last) /* allocate and clean buffer */ nbytes = (last - first + 1) * bank->sectors[first].size; buffer = malloc(nbytes * sizeof(uint8_t)); - for (pos=0; possectors[sec].is_erased = 1; } @@ -952,7 +952,7 @@ static int at91sam7_protect(struct flash_bank_s *bank, int set, int first, int l at91sam7_read_clock_info(bank); at91sam7_set_flash_mode(bank, FMR_TIMING_NVBITS); - for (sector=first; sector <= last; sector++) + for (sector = first; sector <= last; sector++) { if (set) cmd = SLB; @@ -1016,7 +1016,7 @@ static int at91sam7_write(struct flash_bank_s *bank, uint8_t *buffer, uint32_t o at91sam7_read_clock_info(bank); at91sam7_set_flash_mode(bank, FMR_TIMING_FLASH); - for (pagen=first_page; pagenx16_as_x8) { uint8_t i; - for (i=0;i<2;i++) + for (i = 0;i<2;i++) target_read_memory(target, flash_address(bank, sector, offset+i), bank->bus_width, 1, &data[i*bank->bus_width] ); } @@ -234,7 +234,7 @@ static uint32_t cfi_query_u32(flash_bank_t *bank, int sector, uint32_t offset) if (cfi_info->x16_as_x8) { uint8_t i; - for (i=0;i<4;i++) + for (i = 0;i<4;i++) target_read_memory(target, flash_address(bank, sector, offset+i), bank->bus_width, 1, &data[i*bank->bus_width] ); } @@ -1011,7 +1011,7 @@ static void cfi_add_byte(struct flash_bank_s *bank, uint8_t *word, uint8_t byte) static void cfi_fix_code_endian(target_t *target, uint8_t *dest, const uint32_t *src, uint32_t count) { uint32_t i; - for (i=0; i< count; i++) + for (i = 0; i< count; i++) { target_buffer_set_u32(target, dest, *src); dest += 4; @@ -1452,7 +1452,7 @@ static int cfi_spansion_write_block(struct flash_bank_s *bank, uint8_t *buffer, cfi_fix_code_endian(target, target_code, src, target_code_size / 4); /* allocate working area */ - retval=target_alloc_working_area(target, target_code_size, + retval = target_alloc_working_area(target, target_code_size, &cfi_info->write_algorithm); if (retval != ERROR_OK) { @@ -1987,7 +1987,7 @@ int cfi_write(struct flash_bank_s *bank, uint8_t *buffer, uint32_t offset, uint3 buffer += buffersize; write_p += buffersize; count -= buffersize; - fallback=0; + fallback = 0; } } /* try the slow way? */ diff --git a/src/flash/ecos.c b/src/flash/ecos.c index ec3b3c13..686a63fb 100644 --- a/src/flash/ecos.c +++ b/src/flash/ecos.c @@ -66,7 +66,7 @@ typedef struct ecosflash_flash_bank_s uint32_t start_address; } ecosflash_flash_bank_t; -static const int sectorSize=0x10000; +static const int sectorSize = 0x10000; char * flash_errmsg(int err); @@ -145,7 +145,7 @@ static int ecosflash_flash_bank_command(struct command_context_s *cmd_ctx, char exit(-1); } bank->driver_priv = info; - info->driverPath=strdup(args[6]); + info->driverPath = strdup(args[6]); /* eCos flash sector sizes are not exposed to OpenOCD, use 0x10000 as * a way to improve impeadance matach between OpenOCD and eCos flash @@ -153,7 +153,7 @@ static int ecosflash_flash_bank_command(struct command_context_s *cmd_ctx, char */ int i = 0; uint32_t offset = 0; - bank->num_sectors=bank->size/sectorSize; + bank->num_sectors = bank->size/sectorSize; bank->sectors = malloc(sizeof(flash_sector_t) * bank->num_sectors); for (i = 0; i < bank->num_sectors; i++) { @@ -181,15 +181,15 @@ static int loadDriver(ecosflash_flash_bank_t *info) image.base_address_set = 0; image.start_address_set = 0; - target_t *target=info->target; + target_t *target = info->target; int retval; - if ((retval=image_open(&image, info->driverPath, NULL)) != ERROR_OK) + if ((retval = image_open(&image, info->driverPath, NULL)) != ERROR_OK) { return retval; } - info->start_address=image.start_address; + info->start_address = image.start_address; image_size = 0x0; int i; @@ -215,12 +215,12 @@ static int loadDriver(ecosflash_flash_bank_t *info) return ERROR_OK; } -static int const OFFSET_ERASE=0x0; -static int const OFFSET_ERASE_SIZE=0x8; -static int const OFFSET_FLASH=0xc; -static int const OFFSET_FLASH_SIZE=0x8; -static int const OFFSET_GET_WORKAREA=0x18; -static int const OFFSET_GET_WORKAREA_SIZE=0x4; +static int const OFFSET_ERASE = 0x0; +static int const OFFSET_ERASE_SIZE = 0x8; +static int const OFFSET_FLASH = 0xc; +static int const OFFSET_FLASH_SIZE = 0x8; +static int const OFFSET_GET_WORKAREA = 0x18; +static int const OFFSET_GET_WORKAREA_SIZE = 0x4; static int runCode(ecosflash_flash_bank_t *info, uint32_t codeStart, uint32_t codeStop, uint32_t r0, uint32_t r1, uint32_t r2, @@ -228,7 +228,7 @@ static int runCode(ecosflash_flash_bank_t *info, /* timeout in ms */ int timeout) { - target_t *target=info->target; + target_t *target = info->target; reg_param_t reg_params[3]; armv4_5_algorithm_t armv4_5_info; @@ -254,7 +254,7 @@ static int runCode(ecosflash_flash_bank_t *info, return retval; } - *result=buf_get_u32(reg_params[0].value, 0, 32); + *result = buf_get_u32(reg_params[0].value, 0, 32); destroy_reg_param(®_params[0]); destroy_reg_param(®_params[1]); @@ -268,12 +268,12 @@ static int eCosBoard_erase(ecosflash_flash_bank_t *info, uint32_t address, uint3 int retval; int timeout = (len / 20480 + 1) * 1000; /*asume 20 KB/s*/ - retval=loadDriver(info); + retval = loadDriver(info); if (retval != ERROR_OK) return retval; uint32_t flashErr; - retval=runCode(info, + retval = runCode(info, info->start_address+OFFSET_ERASE, info->start_address+OFFSET_ERASE+OFFSET_ERASE_SIZE, address, @@ -296,17 +296,17 @@ static int eCosBoard_erase(ecosflash_flash_bank_t *info, uint32_t address, uint3 static int eCosBoard_flash(ecosflash_flash_bank_t *info, void *data, uint32_t address, uint32_t len) { - target_t *target=info->target; - const int chunk=8192; - int retval=ERROR_OK; + target_t *target = info->target; + const int chunk = 8192; + int retval = ERROR_OK; int timeout = (chunk / 20480 + 1) * 1000; /*asume 20 KB/s + 1 second*/ - retval=loadDriver(info); + retval = loadDriver(info); if (retval != ERROR_OK) return retval; uint32_t buffer; - retval=runCode(info, + retval = runCode(info, info->start_address+OFFSET_GET_WORKAREA, info->start_address+OFFSET_GET_WORKAREA+OFFSET_GET_WORKAREA_SIZE, 0, @@ -319,21 +319,21 @@ static int eCosBoard_flash(ecosflash_flash_bank_t *info, void *data, uint32_t ad uint32_t i; - for (i=0; ichunk) { - t=chunk; + t = chunk; } int retval; - retval=target_write_buffer(target, buffer, t, ((uint8_t *)data)+i); + retval = target_write_buffer(target, buffer, t, ((uint8_t *)data)+i); if (retval != ERROR_OK) return retval; uint32_t flashErr; - retval=runCode(info, + retval = runCode(info, info->start_address+OFFSET_FLASH, info->start_address+OFFSET_FLASH+OFFSET_FLASH_SIZE, buffer, @@ -408,7 +408,7 @@ static uint32_t ecosflash_address(struct flash_bank_s *bank, uint32_t address) static int ecosflash_erase(struct flash_bank_s *bank, int first, int last) { - struct flash_bank_s *c=bank; + struct flash_bank_s *c = bank; ecosflash_flash_bank_t *info = bank->driver_priv; return eCosBoard_erase(info, c->base+first*sectorSize, sectorSize*(last-first+1)); } @@ -421,7 +421,7 @@ static int ecosflash_protect(struct flash_bank_s *bank, int set, int first, int static int ecosflash_write(struct flash_bank_s *bank, uint8_t *buffer, uint32_t offset, uint32_t count) { ecosflash_flash_bank_t *info = bank->driver_priv; - struct flash_bank_s *c=bank; + struct flash_bank_s *c = bank; return eCosBoard_flash(info, buffer, c->base+offset, count); } diff --git a/src/flash/flash.c b/src/flash/flash.c index 3b8a883c..61dc4a6a 100644 --- a/src/flash/flash.c +++ b/src/flash/flash.c @@ -89,7 +89,7 @@ static int flash_driver_write(struct flash_bank_s *bank, uint8_t *buffer, uint32 { int retval; - retval=bank->driver->write(bank, buffer, offset, count); + retval = bank->driver->write(bank, buffer, offset, count); if (retval != ERROR_OK) { LOG_ERROR("error writing to flash at address 0x%08" PRIx32 " at offset 0x%8.8" PRIx32 " (%d)", @@ -103,7 +103,7 @@ static int flash_driver_erase(struct flash_bank_s *bank, int first, int last) { int retval; - retval=bank->driver->erase(bank, first, last); + retval = bank->driver->erase(bank, first, last); if (retval != ERROR_OK) { LOG_ERROR("failed erasing sectors %d to %d (%d)", first, last, retval); @@ -116,7 +116,7 @@ int flash_driver_protect(struct flash_bank_s *bank, int set, int first, int last { int retval; - retval=bank->driver->protect(bank, set, first, last); + retval = bank->driver->protect(bank, set, first, last); if (retval != ERROR_OK) { LOG_ERROR("failed setting protection for areas %d to %d (%d)", first, last, retval); @@ -142,10 +142,10 @@ static int jim_flash_banks(Jim_Interp *interp, int argc, Jim_Obj *const *argv) return JIM_ERR; } - Jim_Obj *list=Jim_NewListObj(interp, NULL, 0); + Jim_Obj *list = Jim_NewListObj(interp, NULL, 0); for (p = flash_banks; p; p = p->next) { - Jim_Obj *elem=Jim_NewListObj(interp, NULL, 0); + Jim_Obj *elem = Jim_NewListObj(interp, NULL, 0); Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, "name", -1)); Jim_ListAppendElement(interp, elem, Jim_NewStringObj(interp, p->driver->name, -1)); @@ -291,7 +291,7 @@ static int handle_flash_bank_command(struct command_context_s *cmd_ctx, char *cm c->sectors = NULL; c->next = NULL; - if ((retval=flash_drivers[i]->flash_bank_command(cmd_ctx, cmd, args, argc, c)) != ERROR_OK) + if ((retval = flash_drivers[i]->flash_bank_command(cmd_ctx, cmd, args, argc, c)) != ERROR_OK) { LOG_ERROR("'%s' driver rejected flash bank at 0x%8.8" PRIx32 , args[0], c->base); free(c); @@ -754,13 +754,13 @@ static int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cm switch (cmd[4]) { case 'w': - wordsize=4; + wordsize = 4; break; case 'h': - wordsize=2; + wordsize = 2; break; case 'b': - wordsize=1; + wordsize = 1; break; default: return ERROR_COMMAND_SYNTAX_ERROR; @@ -791,7 +791,7 @@ static int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cm duration_start_measure(&duration); - for (wrote=0; wrote<(count*wordsize); wrote += cur_size) + for (wrote = 0; wrote<(count*wordsize); wrote += cur_size) { cur_size = MIN( (count*wordsize - wrote), sizeof(chunk) ); flash_bank_t *bank; @@ -809,7 +809,7 @@ static int handle_flash_fill_command(struct command_context_s *cmd_ctx, char *cm return err; unsigned i; - for (i=0; ibase + MG_REG_OFFSET; duration_t duration; int ret; - long long t=0; + long long t = 0; duration_start_measure(&duration); @@ -277,7 +277,7 @@ static int mg_dsk_wait(mg_io_type_wait wait, uint32_t time) duration_stop_measure(&duration, NULL); - t=duration.duration.tv_usec/1000; + t = duration.duration.tv_usec/1000; t += duration.duration.tv_sec*1000; if (t > time) @@ -871,7 +871,7 @@ static int mg_pll_get_M(unsigned short feedback_div) { int i, M; - for (i = 1, M=0; i < 512; i <<= 1, feedback_div >>= 1) + for (i = 1, M = 0; i < 512; i <<= 1, feedback_div >>= 1) M += (feedback_div & 1) * i; return M + 2; diff --git a/src/flash/ocl.c b/src/flash/ocl.c index e6a342e7..17b57a87 100644 --- a/src/flash/ocl.c +++ b/src/flash/ocl.c @@ -200,7 +200,7 @@ static int ocl_write(struct flash_bank_s *bank, uint8_t *buffer, uint32_t offset chksum = OCL_CHKS_INIT; /* copy data to DCC buffer in proper byte order and properly aligned */ - for (i=0; isize / bank->num_sectors; - for (i=0; inum_sectors; i++) + for (i = 0; inum_sectors; i++) { bank->sectors[i].offset = i * sectsize; bank->sectors[i].size = sectsize; diff --git a/src/flash/ocl/at91sam7x/main.c b/src/flash/ocl/at91sam7x/main.c index b49a5b89..c65a171d 100644 --- a/src/flash/ocl/at91sam7x/main.c +++ b/src/flash/ocl/at91sam7x/main.c @@ -39,19 +39,19 @@ void cmd_flash(uint32 cmd) int pagenum; int result; - adr=dcc_rd(); - len=cmd&0xffff; - ofs=adr%flash_page_size; - bi_start=ofs/4; - bi_end=(ofs+len+3)/4; + adr = dcc_rd(); + len = cmd&0xffff; + ofs = adr%flash_page_size; + bi_start = ofs/4; + bi_end = (ofs+len+3)/4; if (bi_end>BUFSIZE) { dcc_wr(OCL_BUFF_OVER); return; } - chksum=OCL_CHKS_INIT; - for (bi=0; bi> 8)&0xf; + nvpsiz = (inr(DBGU_CIDR) >> 8)&0xf; switch (nvpsiz) { case 3: /* AT91SAM7x32 */ - flash_page_count=256; - flash_page_size=128; - flash_lock_pages=256/8; + flash_page_count = 256; + flash_page_size = 128; + flash_lock_pages = 256/8; break; case 5: /* AT91SAM7x64 */ - flash_page_count=512; - flash_page_size=128; - flash_lock_pages=512/16; + flash_page_count = 512; + flash_page_size = 128; + flash_lock_pages = 512/16; break; case 7: /* AT91SAM7x128*/ - flash_page_count=512; - flash_page_size=256; - flash_lock_pages=512/8; + flash_page_count = 512; + flash_page_size = 256; + flash_lock_pages = 512/8; break; case 9: /* AT91SAM7x256 */ - flash_page_count=1024; - flash_page_size=256; - flash_lock_pages=1024/16; + flash_page_count = 1024; + flash_page_size = 256; + flash_lock_pages = 1024/16; break; case 10: /* AT91SAM7x512 */ - flash_page_count=2048; - flash_page_size=256; - flash_lock_pages=2048/32; + flash_page_count = 2048; + flash_page_size = 256; + flash_lock_pages = 2048/32; break; default: return FLASH_STAT_INITE; @@ -82,19 +82,19 @@ int flash_page_program(uint32 *data, int page_num) uint32 *data_ptr; /* select proper controller */ - if (page_num >= 1024) efc_ofs=0x10; - else efc_ofs=0; + if (page_num >= 1024) efc_ofs = 0x10; + else efc_ofs = 0; /* wait until FLASH is ready, just for sure */ while ((inr(MC_FSR+efc_ofs)&MC_FRDY) == 0); /* calculate page address, only lower 8 bits are used to address the latch, but the upper part of address is needed for writing to proper EFC */ - flash_ptr=(uint32 *)(FLASH_AREA_ADDR+(page_num*flash_page_size)); - data_ptr=data; + flash_ptr = (uint32 *)(FLASH_AREA_ADDR+(page_num*flash_page_size)); + data_ptr = data; /* copy data to latch */ - for (i=flash_page_size/4; i; i--) { + for (i = flash_page_size/4; i; i--) { /* we do not use memcpy to be sure that only 32 bit access is used */ *(flash_ptr++)=*(data_ptr++); } @@ -111,10 +111,10 @@ int flash_page_program(uint32 *data, int page_num) #if 0 /* verify written data */ - flash_ptr=(uint32 *)(FLASH_AREA_ADDR+(page_num*flash_page_size)); - data_ptr=data; + flash_ptr = (uint32 *)(FLASH_AREA_ADDR+(page_num*flash_page_size)); + data_ptr = data; - for (i=flash_page_size/4; i; i--) { + for (i = flash_page_size/4; i; i--) { if (*(flash_ptr++)!=*(data_ptr++)) return FLASH_STAT_VERIFE; } #endif @@ -128,8 +128,8 @@ int flash_erase_plane(int efc_ofs) unsigned int lockbits; int page_num; - page_num=0; - lockbits=inr(MC_FSR+efc_ofs) >> 16; + page_num = 0; + lockbits = inr(MC_FSR+efc_ofs) >> 16; while (lockbits) { if (lockbits&1) { @@ -175,10 +175,10 @@ int flash_erase_all(void) { int result; - if ((result=flash_erase_plane(0)) != FLASH_STAT_OK) return result; + if ((result = flash_erase_plane(0)) != FLASH_STAT_OK) return result; /* the second flash controller, if any */ - if (flash_page_count>1024) result=flash_erase_plane(0x10); + if (flash_page_count>1024) result = flash_erase_plane(0x10); return result; } @@ -188,7 +188,7 @@ int flash_verify(uint32 adr, unsigned int len, uint8 *src) { unsigned char *flash_ptr; - flash_ptr=(uint8 *)FLASH_AREA_ADDR+adr; + flash_ptr = (uint8 *)FLASH_AREA_ADDR+adr; for ( ;len; len--) { if (*(flash_ptr++)!=*(src++)) return FLASH_STAT_VERIFE; } diff --git a/src/flash/pic32mx.c b/src/flash/pic32mx.c index 7009995a..5112482c 100644 --- a/src/flash/pic32mx.c +++ b/src/flash/pic32mx.c @@ -388,7 +388,7 @@ static int pic32mx_write_block(struct flash_bank_s *bank, uint8_t *buffer, uint3 return ERROR_TARGET_RESOURCE_NOT_AVAILABLE; }; - if ((retval=target_write_buffer(target, pic32mx_info->write_algorithm->address, sizeof(pic32mx_flash_write_code), pic32mx_flash_write_code)) != ERROR_OK) + if ((retval = target_write_buffer(target, pic32mx_info->write_algorithm->address, sizeof(pic32mx_flash_write_code), pic32mx_flash_write_code)) != ERROR_OK) return retval; #endif @@ -435,12 +435,12 @@ static int pic32mx_write_block(struct flash_bank_s *bank, uint8_t *buffer, uint3 #endif status = pic32mx_write_row(bank, address, source->address); if ( status & NVMCON_NVMERR ) { - LOG_ERROR("Flash write error NVMERR (status=0x%08" PRIx32 ")", status); + LOG_ERROR("Flash write error NVMERR (status = 0x%08" PRIx32 ")", status); retval = ERROR_FLASH_OPERATION_FAILED; break; } if ( status & NVMCON_LVDERR ) { - LOG_ERROR("Flash write error LVDERR (status=0x%08" PRIx32 ")", status); + LOG_ERROR("Flash write error LVDERR (status = 0x%08" PRIx32 ")", status); retval = ERROR_FLASH_OPERATION_FAILED; break; } @@ -459,12 +459,12 @@ static int pic32mx_write_block(struct flash_bank_s *bank, uint8_t *buffer, uint3 uint32_t status = pic32mx_write_word(bank, address, value); if ( status & NVMCON_NVMERR ) { - LOG_ERROR("Flash write error NVMERR (status=0x%08" PRIx32 ")", status); + LOG_ERROR("Flash write error NVMERR (status = 0x%08" PRIx32 ")", status); retval = ERROR_FLASH_OPERATION_FAILED; break; } if ( status & NVMCON_LVDERR ) { - LOG_ERROR("Flash write error LVDERR (status=0x%08" PRIx32 ")", status); + LOG_ERROR("Flash write error LVDERR (status = 0x%08" PRIx32 ")", status); retval = ERROR_FLASH_OPERATION_FAILED; break; } @@ -620,7 +620,7 @@ static int pic32mx_probe(struct flash_bank_s *bank) num_pages = 12; } else { /* 0xBD000000: Program flash size varies with device */ - for (i=0; pic32mx_devs[i].name != NULL; i++) + for (i = 0; pic32mx_devs[i].name != NULL; i++) if (pic32mx_devs[i].devid == ((device_id >> 12) & 0xff)) { num_pages = pic32mx_devs[i].pfm_size; break; @@ -704,7 +704,7 @@ static int pic32mx_info(struct flash_bank_s *bank, char *buf, int buf_size) PIC32MX_MANUF_ID); return ERROR_FLASH_OPERATION_FAILED; } - for (i=0; pic32mx_devs[i].name != NULL; i++) + for (i = 0; pic32mx_devs[i].name != NULL; i++) if (pic32mx_devs[i].devid == ((device_id >> 12) & 0xff)) { printed = snprintf(buf, buf_size, "PIC32MX%s", pic32mx_devs[i].name); break; @@ -939,7 +939,7 @@ static int pic32mx_handle_pgm_word_command(struct command_context_s *cmd_ctx, ch if (res == ERROR_OK) command_print(cmd_ctx, "pic32mx pgm word complete"); else - command_print(cmd_ctx, "pic32mx pgm word failed (status=0x%x)", status); + command_print(cmd_ctx, "pic32mx pgm word failed (status = 0x%x)", status); return ERROR_OK; } diff --git a/src/flash/stm32x.c b/src/flash/stm32x.c index cc69dd16..b48ff937 100644 --- a/src/flash/stm32x.c +++ b/src/flash/stm32x.c @@ -517,7 +517,7 @@ static int stm32x_write_block(struct flash_bank_s *bank, uint8_t *buffer, uint32 return ERROR_TARGET_RESOURCE_NOT_AVAILABLE; }; - if ((retval=target_write_buffer(target, stm32x_info->write_algorithm->address, sizeof(stm32x_flash_write_code), stm32x_flash_write_code)) != ERROR_OK) + if ((retval = target_write_buffer(target, stm32x_info->write_algorithm->address, sizeof(stm32x_flash_write_code), stm32x_flash_write_code)) != ERROR_OK) return retval; /* memory buffer */ diff --git a/src/flash/str9x.c b/src/flash/str9x.c index 73c86da7..880dac4d 100644 --- a/src/flash/str9x.c +++ b/src/flash/str9x.c @@ -198,11 +198,11 @@ static int str9x_protect_check(struct flash_bank_s *bank) if (str9x_info->bank1) { adr = bank1start + 0x18; - if ((retval=target_write_u16(target, adr, 0x90)) != ERROR_OK) + if ((retval = target_write_u16(target, adr, 0x90)) != ERROR_OK) { return retval; } - if ((retval=target_read_u16(target, adr, &hstatus)) != ERROR_OK) + if ((retval = target_read_u16(target, adr, &hstatus)) != ERROR_OK) { return retval; } @@ -211,11 +211,11 @@ static int str9x_protect_check(struct flash_bank_s *bank) else { adr = bank1start + 0x14; - if ((retval=target_write_u16(target, adr, 0x90)) != ERROR_OK) + if ((retval = target_write_u16(target, adr, 0x90)) != ERROR_OK) { return retval; } - if ((retval=target_read_u32(target, adr, &status)) != ERROR_OK) + if ((retval = target_read_u32(target, adr, &status)) != ERROR_OK) { return retval; } @@ -224,11 +224,11 @@ static int str9x_protect_check(struct flash_bank_s *bank) else { adr = bank1start + 0x10; - if ((retval=target_write_u16(target, adr, 0x90)) != ERROR_OK) + if ((retval = target_write_u16(target, adr, 0x90)) != ERROR_OK) { return retval; } - if ((retval=target_read_u16(target, adr, &hstatus)) != ERROR_OK) + if ((retval = target_read_u16(target, adr, &hstatus)) != ERROR_OK) { return retval; } @@ -236,7 +236,7 @@ static int str9x_protect_check(struct flash_bank_s *bank) } /* read array command */ - if ((retval=target_write_u16(target, adr, 0xFF)) != ERROR_OK) + if ((retval = target_write_u16(target, adr, 0xFF)) != ERROR_OK) { return retval; } @@ -284,24 +284,24 @@ static int str9x_erase(struct flash_bank_s *bank, int first, int last) adr = bank->base + bank->sectors[i].offset; /* erase sectors */ - if ((retval=target_write_u16(target, adr, erase_cmd)) != ERROR_OK) + if ((retval = target_write_u16(target, adr, erase_cmd)) != ERROR_OK) { return retval; } - if ((retval=target_write_u16(target, adr, 0xD0)) != ERROR_OK) + if ((retval = target_write_u16(target, adr, 0xD0)) != ERROR_OK) { return retval; } /* get status */ - if ((retval=target_write_u16(target, adr, 0x70)) != ERROR_OK) + if ((retval = target_write_u16(target, adr, 0x70)) != ERROR_OK) { return retval; } int timeout; - for (timeout=0; timeout<1000; timeout++) { - if ((retval=target_read_u8(target, adr, &status)) != ERROR_OK) + for (timeout = 0; timeout<1000; timeout++) { + if ((retval = target_read_u8(target, adr, &status)) != ERROR_OK) { return retval; } @@ -316,13 +316,13 @@ static int str9x_erase(struct flash_bank_s *bank, int first, int last) } /* clear status, also clear read array */ - if ((retval=target_write_u16(target, adr, 0x50)) != ERROR_OK) + if ((retval = target_write_u16(target, adr, 0x50)) != ERROR_OK) { return retval; } /* read array command */ - if ((retval=target_write_u16(target, adr, 0xFF)) != ERROR_OK) + if ((retval = target_write_u16(target, adr, 0xFF)) != ERROR_OK) { return retval; } @@ -575,7 +575,7 @@ static int str9x_write(struct flash_bank_s *bank, target_write_u16(target, bank_adr, 0x70); int timeout; - for (timeout=0; timeout<1000; timeout++) + for (timeout = 0; timeout<1000; timeout++) { target_read_u8(target, bank_adr, &status); if ( status & 0x80 ) @@ -624,7 +624,7 @@ static int str9x_write(struct flash_bank_s *bank, target_write_u16(target, bank_adr, 0x70); int timeout; - for (timeout=0; timeout<1000; timeout++) + for (timeout = 0; timeout<1000; timeout++) { target_read_u8(target, bank_adr, &status); if ( status & 0x80 ) diff --git a/src/flash/tms470.c b/src/flash/tms470.c index 060830c5..5ed36588 100644 --- a/src/flash/tms470.c +++ b/src/flash/tms470.c @@ -150,7 +150,7 @@ static int tms470_read_part_info(struct flash_bank_s *bank) /* read and parse the device identification register */ target_read_u32(target, 0xFFFFFFF0, &device_ident_reg); - LOG_INFO("device_ident_reg=0x%08" PRIx32 "", device_ident_reg); + LOG_INFO("device_ident_reg = 0x%08" PRIx32 "", device_ident_reg); if ((device_ident_reg & 7) == 0) { @@ -432,7 +432,7 @@ static int tms470_check_flash_unlocked(target_t * target) uint32_t fmbbusy; target_read_u32(target, 0xFFE89C08, &fmbbusy); - LOG_INFO("tms470 fmbbusy=0x%08" PRIx32 " -> %s", fmbbusy, fmbbusy & 0x8000 ? "unlocked" : "LOCKED"); + LOG_INFO("tms470 fmbbusy = 0x%08" PRIx32 " -> %s", fmbbusy, fmbbusy & 0x8000 ? "unlocked" : "LOCKED"); return fmbbusy & 0x8000 ? ERROR_OK : ERROR_FLASH_OPERATION_FAILED; } @@ -482,7 +482,7 @@ static int tms470_try_flash_keys(target_t * target, const uint32_t * key_set) */ target_read_u32(target, 0x00001FF0 + 4 * i, &tmp); - LOG_INFO("tms470 writing fmpkey=0x%08" PRIx32 "", key_set[i]); + LOG_INFO("tms470 writing fmpkey = 0x%08" PRIx32 "", key_set[i]); target_write_u32(target, 0xFFE89C0C, key_set[i]); } @@ -570,7 +570,7 @@ static int tms470_flash_initialize_internal_state_machine(struct flash_bank_s *b fmmac2 &= ~0x0007; fmmac2 |= (tms470_info->ordinal & 7); target_write_u32(target, 0xFFE8BC04, fmmac2); - LOG_DEBUG("set fmmac2=0x%04" PRIx32 "", fmmac2); + LOG_DEBUG("set fmmac2 = 0x%04" PRIx32 "", fmmac2); /* * Disable level 1 sector protection by setting bit 15 of FMMAC1. @@ -578,25 +578,25 @@ static int tms470_flash_initialize_internal_state_machine(struct flash_bank_s *b target_read_u32(target, 0xFFE8BC00, &fmmac1); fmmac1 |= 0x8000; target_write_u32(target, 0xFFE8BC00, fmmac1); - LOG_DEBUG("set fmmac1=0x%04" PRIx32 "", fmmac1); + LOG_DEBUG("set fmmac1 = 0x%04" PRIx32 "", fmmac1); /* - * FMTCREG=0x2fc0; + * FMTCREG = 0x2fc0; */ target_write_u32(target, 0xFFE8BC10, 0x2fc0); - LOG_DEBUG("set fmtcreg=0x2fc0"); + LOG_DEBUG("set fmtcreg = 0x2fc0"); /* - * MAXPP=50 + * MAXPP = 50 */ target_write_u32(target, 0xFFE8A07C, 50); - LOG_DEBUG("set fmmaxpp=50"); + LOG_DEBUG("set fmmaxpp = 50"); /* - * MAXCP=0xf000+2000 + * MAXCP = 0xf000+2000 */ target_write_u32(target, 0xFFE8A084, 0xf000 + 2000); - LOG_DEBUG("set fmmaxcp=0x%04x", 0xf000 + 2000); + LOG_DEBUG("set fmmaxcp = 0x%04x", 0xf000 + 2000); /* * configure VHV @@ -606,22 +606,22 @@ static int tms470_flash_initialize_internal_state_machine(struct flash_bank_s *b { fmmaxep = 0xf000 + 4095; target_write_u32(target, 0xFFE8A80C, 0x9964); - LOG_DEBUG("set fmptr3=0x9964"); + LOG_DEBUG("set fmptr3 = 0x9964"); } else { fmmaxep = 0xa000 + 4095; target_write_u32(target, 0xFFE8A80C, 0x9b64); - LOG_DEBUG("set fmptr3=0x9b64"); + LOG_DEBUG("set fmptr3 = 0x9b64"); } target_write_u32(target, 0xFFE8A080, fmmaxep); - LOG_DEBUG("set fmmaxep=0x%04" PRIx32 "", fmmaxep); + LOG_DEBUG("set fmmaxep = 0x%04" PRIx32 "", fmmaxep); /* - * FMPTR4=0xa000 + * FMPTR4 = 0xa000 */ target_write_u32(target, 0xFFE8A810, 0xa000); - LOG_DEBUG("set fmptr4=0xa000"); + LOG_DEBUG("set fmptr4 = 0xa000"); /* * FMPESETUP, delay parameter selected based on clock frequency. @@ -635,56 +635,56 @@ static int tms470_flash_initialize_internal_state_machine(struct flash_bank_s *b sysclk = (plldis ? 1 : (glbctrl & 0x08) ? 4 : 8) * oscMHz / (1 + (glbctrl & 7)); delay = (sysclk > 10) ? (sysclk + 1) / 2 : 5; target_write_u32(target, 0xFFE8A018, (delay << 4) | (delay << 8)); - LOG_DEBUG("set fmpsetup=0x%04" PRIx32 "", (delay << 4) | (delay << 8)); + LOG_DEBUG("set fmpsetup = 0x%04" PRIx32 "", (delay << 4) | (delay << 8)); /* * FMPVEVACCESS, based on delay. */ k = delay | (delay << 8); target_write_u32(target, 0xFFE8A05C, k); - LOG_DEBUG("set fmpvevaccess=0x%04" PRIx32 "", k); + LOG_DEBUG("set fmpvevaccess = 0x%04" PRIx32 "", k); /* * FMPCHOLD, FMPVEVHOLD, FMPVEVSETUP, based on delay. */ k <<= 1; target_write_u32(target, 0xFFE8A034, k); - LOG_DEBUG("set fmpchold=0x%04" PRIx32 "", k); + LOG_DEBUG("set fmpchold = 0x%04" PRIx32 "", k); target_write_u32(target, 0xFFE8A040, k); - LOG_DEBUG("set fmpvevhold=0x%04" PRIx32 "", k); + LOG_DEBUG("set fmpvevhold = 0x%04" PRIx32 "", k); target_write_u32(target, 0xFFE8A024, k); - LOG_DEBUG("set fmpvevsetup=0x%04" PRIx32 "", k); + LOG_DEBUG("set fmpvevsetup = 0x%04" PRIx32 "", k); /* * FMCVACCESS, based on delay. */ k = delay * 16; target_write_u32(target, 0xFFE8A060, k); - LOG_DEBUG("set fmcvaccess=0x%04" PRIx32 "", k); + LOG_DEBUG("set fmcvaccess = 0x%04" PRIx32 "", k); /* * FMCSETUP, based on delay. */ k = 0x3000 | delay * 20; target_write_u32(target, 0xFFE8A020, k); - LOG_DEBUG("set fmcsetup=0x%04" PRIx32 "", k); + LOG_DEBUG("set fmcsetup = 0x%04" PRIx32 "", k); /* * FMEHOLD, based on delay. */ k = (delay * 20) << 2; target_write_u32(target, 0xFFE8A038, k); - LOG_DEBUG("set fmehold=0x%04" PRIx32 "", k); + LOG_DEBUG("set fmehold = 0x%04" PRIx32 "", k); /* * PWIDTH, CWIDTH, EWIDTH, based on delay. */ target_write_u32(target, 0xFFE8A050, delay * 8); - LOG_DEBUG("set fmpwidth=0x%04" PRIx32 "", delay * 8); + LOG_DEBUG("set fmpwidth = 0x%04" PRIx32 "", delay * 8); target_write_u32(target, 0xFFE8A058, delay * 1000); - LOG_DEBUG("set fmcwidth=0x%04" PRIx32 "", delay * 1000); + LOG_DEBUG("set fmcwidth = 0x%04" PRIx32 "", delay * 1000); target_write_u32(target, 0xFFE8A054, delay * 5400); - LOG_DEBUG("set fmewidth=0x%04" PRIx32 "", delay * 5400); + LOG_DEBUG("set fmewidth = 0x%04" PRIx32 "", delay * 5400); return result; } @@ -698,7 +698,7 @@ int tms470_flash_status(struct flash_bank_s *bank) uint32_t fmmstat; target_read_u32(target, 0xFFE8BC0C, &fmmstat); - LOG_DEBUG("set fmmstat=0x%04" PRIx32 "", fmmstat); + LOG_DEBUG("set fmmstat = 0x%04" PRIx32 "", fmmstat); if (fmmstat & 0x0080) { @@ -760,12 +760,12 @@ static int tms470_erase_sector(struct flash_bank_s *bank, int sector) */ target_read_u32(target, 0xFFFFFFDC, &glbctrl); target_write_u32(target, 0xFFFFFFDC, glbctrl | 0x10); - LOG_DEBUG("set glbctrl=0x%08" PRIx32 "", glbctrl | 0x10); + LOG_DEBUG("set glbctrl = 0x%08" PRIx32 "", glbctrl | 0x10); /* Force normal read mode. */ target_read_u32(target, 0xFFE89C00, &orig_fmregopt); target_write_u32(target, 0xFFE89C00, 0); - LOG_DEBUG("set fmregopt=0x%08x", 0); + LOG_DEBUG("set fmregopt = 0x%08x", 0); (void)tms470_flash_initialize_internal_state_machine(bank); @@ -777,13 +777,13 @@ static int tms470_erase_sector(struct flash_bank_s *bank, int sector) { target_read_u32(target, 0xFFE88008, &fmbsea); target_write_u32(target, 0xFFE88008, fmbsea | (1 << sector)); - LOG_DEBUG("set fmbsea=0x%04" PRIx32 "", fmbsea | (1 << sector)); + LOG_DEBUG("set fmbsea = 0x%04" PRIx32 "", fmbsea | (1 << sector)); } else { target_read_u32(target, 0xFFE8800C, &fmbseb); target_write_u32(target, 0xFFE8800C, fmbseb | (1 << (sector - 16))); - LOG_DEBUG("set fmbseb=0x%04" PRIx32 "", fmbseb | (1 << (sector - 16))); + LOG_DEBUG("set fmbseb = 0x%04" PRIx32 "", fmbseb | (1 << (sector - 16))); } bank->sectors[sector].is_protected = 0; @@ -816,19 +816,19 @@ static int tms470_erase_sector(struct flash_bank_s *bank, int sector) if (sector < 16) { target_write_u32(target, 0xFFE88008, fmbsea); - LOG_DEBUG("set fmbsea=0x%04" PRIx32 "", fmbsea); + LOG_DEBUG("set fmbsea = 0x%04" PRIx32 "", fmbsea); bank->sectors[sector].is_protected = fmbsea & (1 << sector) ? 0 : 1; } else { target_write_u32(target, 0xFFE8800C, fmbseb); - LOG_DEBUG("set fmbseb=0x%04" PRIx32 "", fmbseb); + LOG_DEBUG("set fmbseb = 0x%04" PRIx32 "", fmbseb); bank->sectors[sector].is_protected = fmbseb & (1 << (sector - 16)) ? 0 : 1; } target_write_u32(target, 0xFFE89C00, orig_fmregopt); - LOG_DEBUG("set fmregopt=0x%08" PRIx32 "", orig_fmregopt); + LOG_DEBUG("set fmregopt = 0x%08" PRIx32 "", orig_fmregopt); target_write_u32(target, 0xFFFFFFDC, glbctrl); - LOG_DEBUG("set glbctrl=0x%08" PRIx32 "", glbctrl); + LOG_DEBUG("set glbctrl = 0x%08" PRIx32 "", glbctrl); if (result == ERROR_OK) { @@ -1028,7 +1028,7 @@ static int tms470_write(struct flash_bank_s *bank, uint8_t * buffer, uint32_t of if (fmmstat & 0x3ff) { - LOG_ERROR("fmstat=0x%04" PRIx32 "", fmmstat); + LOG_ERROR("fmstat = 0x%04" PRIx32 "", fmmstat); LOG_ERROR("Could not program word 0x%04x at address 0x%08" PRIx32 ".", word, addr); result = ERROR_FLASH_OPERATION_FAILED; break; @@ -1105,7 +1105,7 @@ static int tms470_erase_check(struct flash_bank_s *bank) target_read_u32(target, 0xFFE8BC04, &fmmac2); target_write_u32(target, 0xFFE8BC04, (fmmac2 & ~7) | tms470_info->ordinal); - /* TCR=0 */ + /* TCR = 0 */ target_write_u32(target, 0xFFE8BC10, 0x2fc0); /* clear TEZ in fmbrdy */ -- cgit v1.2.3