From fb1a9b2cb2f844a17d26dfeb3d26849364d93e26 Mon Sep 17 00:00:00 2001 From: zwelch Date: Tue, 23 Jun 2009 22:45:15 +0000 Subject: - Fixes '[|]' whitespace - Replace ')\([|]\)(' with ') \1 ('. - Replace ')\([|]\)\(\w\)' with ') \1 \2'. - Replace '\(\w\)\([|]\)(' with '\1 \2 ('. - Replace '\(\w\)\([|]\)\(\w\)' with '\1 \2 \3'. git-svn-id: svn://svn.berlios.de/openocd/trunk@2374 b42882b7-edfa-0310-969c-e2dbd0fdcd60 --- src/flash/at91sam7.c | 6 +++--- src/flash/flash.c | 2 +- src/flash/lpc2000.c | 14 +++++++------- src/flash/nand.c | 4 ++-- src/flash/non_cfi.c | 2 +- src/flash/ocl/at91sam7x/main.c | 6 +++--- src/flash/ocl/at91sam7x/samflash.c | 2 +- src/flash/pic32mx.c | 4 ++-- src/flash/stellaris.c | 32 ++++++++++++++++---------------- src/flash/stellaris.h | 12 ++++++------ src/flash/stm32x.c | 18 +++++++++--------- src/flash/str7x.c | 8 ++++---- src/flash/str9xpec.c | 8 ++++---- src/flash/tms470.c | 2 +- 14 files changed, 60 insertions(+), 60 deletions(-) (limited to 'src/flash') diff --git a/src/flash/at91sam7.c b/src/flash/at91sam7.c index 2ab1cd3f..8be4bc95 100644 --- a/src/flash/at91sam7.c +++ b/src/flash/at91sam7.c @@ -107,7 +107,7 @@ static int at91sam7_register_commands(struct command_context_s *cmd_ctx) command_t *at91sam7_cmd = register_command(cmd_ctx, NULL, "at91sam7", NULL, COMMAND_ANY, NULL); register_command(cmd_ctx, at91sam7_cmd, "gpnvm", at91sam7_handle_gpnvm_command, COMMAND_EXEC, - "at91sam7 gpnvm set|clear, set or clear one gpnvm bit"); + "at91sam7 gpnvm set | clear, set or clear one gpnvm bit"); return ERROR_OK; } @@ -284,7 +284,7 @@ static int at91sam7_flash_command(struct flash_bank_s *bank, uint8_t cmd, uint16 target_write_u32(target, MC_FCR[bank->bank_number], fcr); LOG_DEBUG("Flash command: 0x%" PRIx32 ", flash bank: %i, page number: %u", fcr, bank->bank_number + 1, pagen); - if ((at91sam7_info->cidr_arch == 0x60) && ((cmd == SLB)|(cmd == CLB))) + if ((at91sam7_info->cidr_arch == 0x60) && ((cmd == SLB) | (cmd == CLB))) { /* Lock bit manipulation on AT91SAM7A3 waits for FC_FSR bit 1, EOL */ if (at91sam7_wait_status_busy(bank, MC_FSR_EOL, 10)&0x0C) @@ -1138,7 +1138,7 @@ static int at91sam7_handle_gpnvm_command(struct command_context_s *cmd_ctx, char if (argc != 2) { - command_print(cmd_ctx, "at91sam7 gpnvm "); + command_print(cmd_ctx, "at91sam7 gpnvm "); return ERROR_OK; } diff --git a/src/flash/flash.c b/src/flash/flash.c index d93c4efa..a850ced9 100644 --- a/src/flash/flash.c +++ b/src/flash/flash.c @@ -197,7 +197,7 @@ int flash_init_drivers(struct command_context_s *cmd_ctx) register_command(cmd_ctx, flash_cmd, "write_image", handle_flash_write_image_command, COMMAND_EXEC, "write_image [erase] [offset] [type]"); register_command(cmd_ctx, flash_cmd, "protect", handle_flash_protect_command, COMMAND_EXEC, - "set protection of sectors at "); + "set protection of sectors at "); } return ERROR_OK; diff --git a/src/flash/lpc2000.c b/src/flash/lpc2000.c index 69bb6c57..106c2bad 100644 --- a/src/flash/lpc2000.c +++ b/src/flash/lpc2000.c @@ -30,18 +30,18 @@ /* flash programming support for Philips LPC2xxx devices * currently supported devices: * variant 1 (lpc2000_v1): - * - 2104|5|6 - * - 2114|9 - * - 2124|9 + * - 2104 | 5|6 + * - 2114 | 9 + * - 2124 | 9 * - 2194 - * - 2212|4 - * - 2292|4 + * - 2212 | 4 + * - 2292 | 4 * * variant 2 (lpc2000_v2): * - 213x * - 214x - * - 2101|2|3 - * - 2364|6|8 + * - 2101 | 2|3 + * - 2364 | 6|8 * - 2378 */ diff --git a/src/flash/nand.c b/src/flash/nand.c index 9851e789..e2641ae3 100644 --- a/src/flash/nand.c +++ b/src/flash/nand.c @@ -311,9 +311,9 @@ int nand_init(struct command_context_s *cmd_ctx) "erase blocks on NAND flash device "); register_command(cmd_ctx, nand_cmd, "dump", handle_nand_dump_command, COMMAND_EXEC, "dump from NAND flash device " - " [oob_raw|oob_only]"); + " [oob_raw | oob_only]"); register_command(cmd_ctx, nand_cmd, "write", handle_nand_write_command, COMMAND_EXEC, - "write to NAND flash device [oob_raw|oob_only|oob_softecc|oob_softecc_kw]"); + "write to NAND flash device [oob_raw | oob_only | oob_softecc | oob_softecc_kw]"); register_command(cmd_ctx, nand_cmd, "raw_access", handle_nand_raw_access_command, COMMAND_EXEC, "raw access to NAND flash device ['enable'|'disable']"); } diff --git a/src/flash/non_cfi.c b/src/flash/non_cfi.c index 47313672..f3fd20f9 100644 --- a/src/flash/non_cfi.c +++ b/src/flash/non_cfi.c @@ -29,7 +29,7 @@ #define KB 1024 #define MB (1024*1024) -#define ERASE_REGION(num, size) (((size/256) << 16)|(num-1)) +#define ERASE_REGION(num, size) (((size/256) << 16) | (num-1)) /* non-CFI compatible flashes */ non_cfi_t non_cfi_flashes[] = { diff --git a/src/flash/ocl/at91sam7x/main.c b/src/flash/ocl/at91sam7x/main.c index 2d6ba0a5..c675b20a 100644 --- a/src/flash/ocl/at91sam7x/main.c +++ b/src/flash/ocl/at91sam7x/main.c @@ -72,7 +72,7 @@ void cmd_flash(uint32 cmd) /* verify written data */ if (!result) result = flash_verify(adr, len, ((uint8 *)buffer) + ofs); - dcc_wr(OCL_CMD_DONE|result); + dcc_wr(OCL_CMD_DONE | result); } @@ -84,14 +84,14 @@ int main (void) cmd = dcc_rd(); switch (cmd&OCL_CMD_MASK) { case OCL_PROBE: - dcc_wr(OCL_CMD_DONE|flash_init()); + dcc_wr(OCL_CMD_DONE | flash_init()); dcc_wr(0x100000); /* base */ dcc_wr(flash_page_count*flash_page_size); /* size */ dcc_wr(1); /* num_sectors */ dcc_wr(4096 | ((unsigned long) flash_page_size << 16)); /* buflen and bufalign */ break; case OCL_ERASE_ALL: - dcc_wr(OCL_CMD_DONE|flash_erase_all()); + dcc_wr(OCL_CMD_DONE | flash_erase_all()); break; case OCL_FLASH_BLOCK: cmd_flash(cmd); diff --git a/src/flash/ocl/at91sam7x/samflash.c b/src/flash/ocl/at91sam7x/samflash.c index caa3dad4..6f7bf5f5 100644 --- a/src/flash/ocl/at91sam7x/samflash.c +++ b/src/flash/ocl/at91sam7x/samflash.c @@ -164,7 +164,7 @@ int flash_erase_plane(int efc_ofs) if ((inr(MC_FSR + efc_ofs)&MC_LOCKE)) return FLASH_STAT_LOCKE; /* set no erase before programming */ - outr(MC_FMR + efc_ofs, inr(MC_FMR + efc_ofs)|0x80); + outr(MC_FMR + efc_ofs, inr(MC_FMR + efc_ofs) | 0x80); return FLASH_STAT_OK; } diff --git a/src/flash/pic32mx.c b/src/flash/pic32mx.c index 3ed2bf5d..dab58a4b 100644 --- a/src/flash/pic32mx.c +++ b/src/flash/pic32mx.c @@ -162,7 +162,7 @@ static int pic32mx_nvm_exec(struct flash_bank_s *bank, uint32_t op, uint32_t tim target_t *target = bank->target; uint32_t status; - target_write_u32(target, PIC32MX_NVMCON, NVMCON_NVMWREN|op); + target_write_u32(target, PIC32MX_NVMCON, NVMCON_NVMWREN | op); /* unlock flash registers */ target_write_u32(target, PIC32MX_NVMKEY, NVMKEY1); @@ -841,7 +841,7 @@ static int pic32mx_chip_erase(struct flash_bank_s *bank) /* chip erase flash memory */ target_write_u32(target, PIC32MX_FLASH_CR, FLASH_MER); - target_write_u32(target, PIC32MX_FLASH_CR, FLASH_MER|FLASH_STRT); + target_write_u32(target, PIC32MX_FLASH_CR, FLASH_MER | FLASH_STRT); status = pic32mx_wait_status_busy(bank, 10); diff --git a/src/flash/stellaris.c b/src/flash/stellaris.c index 9cf862a4..d06aa9a5 100644 --- a/src/flash/stellaris.c +++ b/src/flash/stellaris.c @@ -346,7 +346,7 @@ static uint32_t stellaris_get_flash_status(flash_bank_t *bank) target_t *target = bank->target; uint32_t fmc; - target_read_u32(target, FLASH_CONTROL_BASE|FLASH_FMC, &fmc); + target_read_u32(target, FLASH_CONTROL_BASE | FLASH_FMC, &fmc); return fmc; } @@ -360,9 +360,9 @@ static void stellaris_read_clock_info(flash_bank_t *bank) uint32_t rcc, pllcfg, sysdiv, usesysdiv, bypass, oscsrc; unsigned long mainfreq; - target_read_u32(target, SCB_BASE|RCC, &rcc); + target_read_u32(target, SCB_BASE | RCC, &rcc); LOG_DEBUG("Stellaris RCC %" PRIx32 "", rcc); - target_read_u32(target, SCB_BASE|PLLCFG, &pllcfg); + target_read_u32(target, SCB_BASE | PLLCFG, &pllcfg); LOG_DEBUG("Stellaris PLLCFG %" PRIx32 "", pllcfg); stellaris_info->rcc = rcc; @@ -412,7 +412,7 @@ static void stellaris_set_flash_mode(flash_bank_t *bank,int mode) uint32_t usecrl = (stellaris_info->mck_freq/1000000ul-1); LOG_DEBUG("usecrl = %i",(int)(usecrl)); - target_write_u32(target, SCB_BASE|USECRL, usecrl); + target_write_u32(target, SCB_BASE | USECRL, usecrl); } #if 0 @@ -439,7 +439,7 @@ static int stellaris_flash_command(struct flash_bank_s *bank,uint8_t cmd,uint16_ target_t *target = bank->target; fmc = FMC_WRKEY | cmd; - target_write_u32(target, FLASH_CONTROL_BASE|FLASH_FMC, fmc); + target_write_u32(target, FLASH_CONTROL_BASE | FLASH_FMC, fmc); LOG_DEBUG("Flash command: 0x%x", fmc); if (stellaris_wait_status_busy(bank, cmd, 100)) @@ -460,10 +460,10 @@ static int stellaris_read_part_info(struct flash_bank_s *bank) int i; /* Read and parse chip identification register */ - target_read_u32(target, SCB_BASE|DID0, &did0); - target_read_u32(target, SCB_BASE|DID1, &did1); - target_read_u32(target, SCB_BASE|DC0, &stellaris_info->dc0); - target_read_u32(target, SCB_BASE|DC1, &stellaris_info->dc1); + target_read_u32(target, SCB_BASE | DID0, &did0); + target_read_u32(target, SCB_BASE | DID1, &did1); + target_read_u32(target, SCB_BASE | DC0, &stellaris_info->dc0); + target_read_u32(target, SCB_BASE | DC1, &stellaris_info->dc1); LOG_DEBUG("did0 0x%" PRIx32 ", did1 0x%" PRIx32 ", dc0 0x%" PRIx32 ", dc1 0x%" PRIx32 "", did0, did1, stellaris_info->dc0, stellaris_info->dc1); @@ -503,7 +503,7 @@ static int stellaris_read_part_info(struct flash_bank_s *bank) stellaris_info->pagesize = 1024; bank->size = 1024 * stellaris_info->num_pages; stellaris_info->pages_in_lockregion = 2; - target_read_u32(target, SCB_BASE|FMPPE, &stellaris_info->lockbits); + target_read_u32(target, SCB_BASE | FMPPE, &stellaris_info->lockbits); /* provide this for the benefit of the higher flash driver layers */ bank->num_sectors = stellaris_info->num_pages; @@ -597,7 +597,7 @@ static int stellaris_erase(struct flash_bank_s *bank, int first, int last) /* Clear and disable flash programming interrupts */ target_write_u32(target, FLASH_CIM, 0); - target_write_u32(target, FLASH_MISC, PMISC|AMISC); + target_write_u32(target, FLASH_MISC, PMISC | AMISC); for (banknr = first; banknr <= last; banknr++) { @@ -672,10 +672,10 @@ static int stellaris_protect(struct flash_bank_s *bank, int set, int first, int /* Clear and disable flash programming interrupts */ target_write_u32(target, FLASH_CIM, 0); - target_write_u32(target, FLASH_MISC, PMISC|AMISC); + target_write_u32(target, FLASH_MISC, PMISC | AMISC); LOG_DEBUG("fmppe 0x%" PRIx32 "",fmppe); - target_write_u32(target, SCB_BASE|FMPPE, fmppe); + target_write_u32(target, SCB_BASE | FMPPE, fmppe); /* Commit FMPPE */ target_write_u32(target, FLASH_FMA, 1); /* Write commit command */ @@ -698,7 +698,7 @@ static int stellaris_protect(struct flash_bank_s *bank, int set, int first, int return ERROR_FLASH_OPERATION_FAILED; } - target_read_u32(target, SCB_BASE|FMPPE, &stellaris_info->lockbits); + target_read_u32(target, SCB_BASE | FMPPE, &stellaris_info->lockbits); return ERROR_OK; } @@ -869,7 +869,7 @@ static int stellaris_write(struct flash_bank_s *bank, uint8_t *buffer, uint32_t /* Clear and disable flash programming interrupts */ target_write_u32(target, FLASH_CIM, 0); - target_write_u32(target, FLASH_MISC, PMISC|AMISC); + target_write_u32(target, FLASH_MISC, PMISC | AMISC); /* multiple words to be programmed? */ if (words_remaining > 0) @@ -1014,7 +1014,7 @@ static int stellaris_mass_erase(struct flash_bank_s *bank) /* Clear and disable flash programming interrupts */ target_write_u32(target, FLASH_CIM, 0); - target_write_u32(target, FLASH_MISC, PMISC|AMISC); + target_write_u32(target, FLASH_MISC, PMISC | AMISC); target_write_u32(target, FLASH_FMA, 0); target_write_u32(target, FLASH_FMC, FMC_WRKEY | FMC_MERASE); diff --git a/src/flash/stellaris.h b/src/flash/stellaris.h index 18fd3f24..bf07c70e 100644 --- a/src/flash/stellaris.h +++ b/src/flash/stellaris.h @@ -68,12 +68,12 @@ typedef struct stellaris_flash_bank_s #define USECRL 0x140 #define FLASH_CONTROL_BASE 0x400FD000 -#define FLASH_FMA (FLASH_CONTROL_BASE|0x000) -#define FLASH_FMD (FLASH_CONTROL_BASE|0x004) -#define FLASH_FMC (FLASH_CONTROL_BASE|0x008) -#define FLASH_CRIS (FLASH_CONTROL_BASE|0x00C) -#define FLASH_CIM (FLASH_CONTROL_BASE|0x010) -#define FLASH_MISC (FLASH_CONTROL_BASE|0x014) +#define FLASH_FMA (FLASH_CONTROL_BASE | 0x000) +#define FLASH_FMD (FLASH_CONTROL_BASE | 0x004) +#define FLASH_FMC (FLASH_CONTROL_BASE | 0x008) +#define FLASH_CRIS (FLASH_CONTROL_BASE | 0x00C) +#define FLASH_CIM (FLASH_CONTROL_BASE | 0x010) +#define FLASH_MISC (FLASH_CONTROL_BASE | 0x014) #define AMISC 1 #define PMISC 2 diff --git a/src/flash/stm32x.c b/src/flash/stm32x.c index b48ff937..aab8b9a9 100644 --- a/src/flash/stm32x.c +++ b/src/flash/stm32x.c @@ -122,9 +122,9 @@ static uint32_t stm32x_wait_status_busy(flash_bank_t *bank, int timeout) alive_sleep(1); } /* Clear but report errors */ - if (status & (FLASH_WRPRTERR|FLASH_PGERR)) + if (status & (FLASH_WRPRTERR | FLASH_PGERR)) { - target_write_u32(target, STM32_FLASH_SR, FLASH_WRPRTERR|FLASH_PGERR); + target_write_u32(target, STM32_FLASH_SR, FLASH_WRPRTERR | FLASH_PGERR); } return status; } @@ -140,7 +140,7 @@ static int stm32x_read_options(struct flash_bank_s *bank) /* read current option bytes */ target_read_u32(target, STM32_FLASH_OBR, &optiondata); - stm32x_info->option_bytes.user_options = (uint16_t)0xFFF8|((optiondata >> 2) & 0x07); + stm32x_info->option_bytes.user_options = (uint16_t)0xFFF8 | ((optiondata >> 2) & 0x07); stm32x_info->option_bytes.RDP = (optiondata & (1 << OPT_READOUT)) ? 0xFFFF : 0x5AA5; if (optiondata & (1 << OPT_READOUT)) @@ -177,8 +177,8 @@ static int stm32x_erase_options(struct flash_bank_s *bank) target_write_u32(target, STM32_FLASH_OPTKEYR, KEY2); /* erase option bytes */ - target_write_u32(target, STM32_FLASH_CR, FLASH_OPTER|FLASH_OPTWRE); - target_write_u32(target, STM32_FLASH_CR, FLASH_OPTER|FLASH_STRT|FLASH_OPTWRE); + target_write_u32(target, STM32_FLASH_CR, FLASH_OPTER | FLASH_OPTWRE); + target_write_u32(target, STM32_FLASH_CR, FLASH_OPTER | FLASH_STRT | FLASH_OPTWRE); status = stm32x_wait_status_busy(bank, 10); @@ -211,7 +211,7 @@ static int stm32x_write_options(struct flash_bank_s *bank) target_write_u32(target, STM32_FLASH_OPTKEYR, KEY2); /* program option bytes */ - target_write_u32(target, STM32_FLASH_CR, FLASH_OPTPG|FLASH_OPTWRE); + target_write_u32(target, STM32_FLASH_CR, FLASH_OPTPG | FLASH_OPTWRE); /* write user option byte */ target_write_u16(target, STM32_OB_USER, stm32x_info->option_bytes.user_options); @@ -374,7 +374,7 @@ static int stm32x_erase(struct flash_bank_s *bank, int first, int last) { target_write_u32(target, STM32_FLASH_CR, FLASH_PER); target_write_u32(target, STM32_FLASH_AR, bank->base + bank->sectors[i].offset); - target_write_u32(target, STM32_FLASH_CR, FLASH_PER|FLASH_STRT); + target_write_u32(target, STM32_FLASH_CR, FLASH_PER | FLASH_STRT); status = stm32x_wait_status_busy(bank, 10); @@ -1098,7 +1098,7 @@ static int stm32x_handle_options_write_command(struct command_context_s *cmd_ctx if (argc < 4) { - command_print(cmd_ctx, "stm32x options_write "); + command_print(cmd_ctx, "stm32x options_write "); return ERROR_OK; } @@ -1182,7 +1182,7 @@ static int stm32x_mass_erase(struct flash_bank_s *bank) /* mass erase flash memory */ target_write_u32(target, STM32_FLASH_CR, FLASH_MER); - target_write_u32(target, STM32_FLASH_CR, FLASH_MER|FLASH_STRT); + target_write_u32(target, STM32_FLASH_CR, FLASH_MER | FLASH_STRT); status = stm32x_wait_status_busy(bank, 10); diff --git a/src/flash/str7x.c b/src/flash/str7x.c index b6fef22c..5cf8cdcc 100644 --- a/src/flash/str7x.c +++ b/src/flash/str7x.c @@ -160,7 +160,7 @@ static int str7x_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd bank->driver_priv = str7x_info; /* set default bits for str71x flash */ - str7x_info->busy_bits = (FLASH_LOCK|FLASH_BSYA1|FLASH_BSYA0); + str7x_info->busy_bits = (FLASH_LOCK | FLASH_BSYA1 | FLASH_BSYA0); str7x_info->disable_bit = (1 << 1); if (strcmp(args[6], "STR71x") == 0) @@ -170,7 +170,7 @@ static int str7x_flash_bank_command(struct command_context_s *cmd_ctx, char *cmd else if (strcmp(args[6], "STR73x") == 0) { str7x_info->register_base = 0x80100000; - str7x_info->busy_bits = (FLASH_LOCK|FLASH_BSYA0); + str7x_info->busy_bits = (FLASH_LOCK | FLASH_BSYA0); } else if (strcmp(args[6], "STR75x") == 0) { @@ -270,7 +270,7 @@ static int str7x_erase(struct flash_bank_s *bank, int first, int last) cmd = sectors; target_write_u32(target, str7x_get_flash_adr(bank, FLASH_CR1), cmd); - cmd = FLASH_SER|FLASH_WMS; + cmd = FLASH_SER | FLASH_WMS; target_write_u32(target, str7x_get_flash_adr(bank, FLASH_CR0), cmd); while (((retval = str7x_status(bank)) & str7x_info->busy_bits)){ @@ -326,7 +326,7 @@ static int str7x_protect(struct flash_bank_s *bank, int set, int first, int last cmd = protect_blocks; target_write_u32(target, str7x_get_flash_adr(bank, FLASH_DR0), cmd); - cmd = FLASH_SPR|FLASH_WMS; + cmd = FLASH_SPR | FLASH_WMS; target_write_u32(target, str7x_get_flash_adr(bank, FLASH_CR0), cmd); while (((retval = str7x_status(bank)) & str7x_info->busy_bits)){ diff --git a/src/flash/str9xpec.c b/src/flash/str9xpec.c index fc1bfbcd..14dfebca 100644 --- a/src/flash/str9xpec.c +++ b/src/flash/str9xpec.c @@ -1002,7 +1002,7 @@ static int str9xpec_handle_flash_options_cmap_command(struct command_context_s * if (argc < 2) { - command_print(cmd_ctx, "str9xpec options_cmap "); + command_print(cmd_ctx, "str9xpec options_cmap "); return ERROR_OK; } @@ -1034,7 +1034,7 @@ static int str9xpec_handle_flash_options_lvdthd_command(struct command_context_s if (argc < 2) { - command_print(cmd_ctx, "str9xpec options_lvdthd <2.4v|2.7v>"); + command_print(cmd_ctx, "str9xpec options_lvdthd <2.4v | 2.7v>"); return ERROR_OK; } @@ -1066,7 +1066,7 @@ int str9xpec_handle_flash_options_lvdsel_command(struct command_context_s *cmd_c if (argc < 2) { - command_print(cmd_ctx, "str9xpec options_lvdsel "); + command_print(cmd_ctx, "str9xpec options_lvdsel "); return ERROR_OK; } @@ -1098,7 +1098,7 @@ static int str9xpec_handle_flash_options_lvdwarn_command(struct command_context_ if (argc < 2) { - command_print(cmd_ctx, "str9xpec options_lvdwarn "); + command_print(cmd_ctx, "str9xpec options_lvdwarn "); return ERROR_OK; } diff --git a/src/flash/tms470.c b/src/flash/tms470.c index 26fcadda..bad82c4c 100644 --- a/src/flash/tms470.c +++ b/src/flash/tms470.c @@ -411,7 +411,7 @@ static int tms470_handle_plldis_command(struct command_context_s *cmd_ctx, char { if (argc > 1) { - command_print(cmd_ctx, "tms470 plldis <0|1>"); + command_print(cmd_ctx, "tms470 plldis <0 | 1>"); return ERROR_INVALID_ARGUMENTS; } else if (argc == 1) -- cgit v1.2.3