From 84df52f9ea78e2d71bde648a16b69d80404c6421 Mon Sep 17 00:00:00 2001 From: zwelch Date: Tue, 23 Jun 2009 22:42:54 +0000 Subject: - Fixes '=' whitespace - Replace ')\(=\)\(\w\)' with ') \1 \2'. - Replace '\(\w\)\(=\)(' with '\1 \2 ('. - Replace '\(\w\)\(=\)\(\w\)' with '\1 \2 \3'. git-svn-id: svn://svn.berlios.de/openocd/trunk@2372 b42882b7-edfa-0310-969c-e2dbd0fdcd60 --- src/jtag/bitbang.c | 18 ++++----- src/jtag/commands.c | 2 +- src/jtag/commands.h | 4 +- src/jtag/core.c | 12 +++--- src/jtag/driver.c | 26 ++++++------- src/jtag/dummy.c | 6 +-- src/jtag/ft2232.c | 48 ++++++++++++------------ src/jtag/gw16012.c | 2 +- src/jtag/interface.h | 2 +- src/jtag/jlink.c | 6 +-- src/jtag/minidummy/minidummy.c | 2 +- src/jtag/parport.c | 2 +- src/jtag/presto.c | 34 ++++++++--------- src/jtag/rlink/rlink.c | 12 +++--- src/jtag/tcl.c | 10 ++--- src/jtag/usbprog.c | 2 +- src/jtag/zy1000/jtag_minidriver.h | 28 +++++++------- src/jtag/zy1000/zy1000.c | 78 +++++++++++++++++++-------------------- 18 files changed, 147 insertions(+), 147 deletions(-) (limited to 'src/jtag') diff --git a/src/jtag/bitbang.c b/src/jtag/bitbang.c index cb0d73d6..8fbe83de 100644 --- a/src/jtag/bitbang.c +++ b/src/jtag/bitbang.c @@ -47,7 +47,7 @@ bitbang_interface_t *bitbang_interface; * * If someone can submit a patch with an explanation it will be greatly * appreciated, but as far as I can tell (ØH) DCLK is generated upon - * clk=0 in TAP_IDLE. Good luck deducing that from the ARM documentation! + * clk = 0 in TAP_IDLE. Good luck deducing that from the ARM documentation! * The ARM documentation uses the term "DCLK is asserted while in the TAP_IDLE * state". With hardware there is no such thing as *while* in a state. There * are only edges. So clk => 0 is in fact a very subtle state transition that @@ -76,7 +76,7 @@ static void bitbang_end_state(tap_state_t state) static void bitbang_state_move(int skip) { - int i=0, tms=0; + int i = 0, tms = 0; uint8_t tms_scan = tap_get_tms_path(tap_get_state(), tap_get_end_state()); int tms_count = tap_get_tms_path_len(tap_get_state(), tap_get_end_state()); @@ -188,24 +188,24 @@ static void bitbang_scan(bool ir_scan, enum scan_type type, uint8_t *buffer, int for (bit_cnt = 0; bit_cnt < scan_size; bit_cnt++) { - int val=0; - int tms=(bit_cnt == scan_size-1) ? 1 : 0; + int val = 0; + int tms = (bit_cnt == scan_size-1) ? 1 : 0; int tdi; - int bytec=bit_cnt/8; - int bcval=1 << (bit_cnt % 8); + int bytec = bit_cnt/8; + int bcval = 1 << (bit_cnt % 8); /* if we're just reading the scan, but don't care about the output * default to outputting 'low', this also makes valgrind traces more readable, * as it removes the dependency on an uninitialised value */ - tdi=0; + tdi = 0; if ((type != SCAN_IN) && (buffer[bytec] & bcval)) - tdi=1; + tdi = 1; bitbang_interface->write(0, tms, tdi); if (type != SCAN_OUT) - val=bitbang_interface->read(); + val = bitbang_interface->read(); bitbang_interface->write(1, tms, tdi); diff --git a/src/jtag/commands.c b/src/jtag/commands.c index b7b411b1..71fa827d 100644 --- a/src/jtag/commands.c +++ b/src/jtag/commands.c @@ -117,7 +117,7 @@ void* cmd_queue_alloc(size_t size) offset = (*p_page)->used; (*p_page)->used += size; - t=(uint8_t *)((*p_page)->address); + t = (uint8_t *)((*p_page)->address); return t + offset; } diff --git a/src/jtag/commands.h b/src/jtag/commands.h index 35f0dd90..5a76a8fb 100644 --- a/src/jtag/commands.h +++ b/src/jtag/commands.h @@ -90,9 +90,9 @@ typedef struct stableclocks_command_s typedef struct reset_command_s { - /// Set TRST output: 0=deassert, 1=assert, -1=no change + /// Set TRST output: 0 = deassert, 1 = assert, -1 = no change int trst; - /// Set SRST output: 0=deassert, 1=assert, -1=no change + /// Set SRST output: 0 = deassert, 1 = assert, -1 = no change int srst; } reset_command_t; diff --git a/src/jtag/core.c b/src/jtag/core.c index 34ad11b0..4e41eb17 100644 --- a/src/jtag/core.c +++ b/src/jtag/core.c @@ -333,8 +333,8 @@ void jtag_add_ir_scan(int in_num_fields, scan_field_t *in_fields, tap_state_t st /* if we are to run a verification of the ir scan, we need to get the input back. * We may have to allocate space if the caller didn't ask for the input back. */ - in_fields[j].check_value=in_fields[j].tap->expected; - in_fields[j].check_mask=in_fields[j].tap->expected_mask; + in_fields[j].check_value = in_fields[j].tap->expected; + in_fields[j].check_mask = in_fields[j].tap->expected_mask; } jtag_add_scan_check(jtag_add_ir_scan_noverify, in_num_fields, in_fields, state); } else @@ -754,7 +754,7 @@ void jtag_check_value_mask(scan_field_t *field, uint8_t *value, uint8_t *mask) jtag_execute_queue_noclear(); - int retval=jtag_check_value_inner(field->in_value, value, mask, field->num_bits); + int retval = jtag_check_value_inner(field->in_value, value, mask, field->num_bits); jtag_set_error(retval); } @@ -1157,7 +1157,7 @@ static int jtag_init_inner(struct command_context_s *cmd_ctx) } jtag_add_tlr(); - if ((retval=jtag_execute_queue()) != ERROR_OK) + if ((retval = jtag_execute_queue()) != ERROR_OK) return retval; /* examine chain first, as this could discover the real chain layout */ @@ -1192,7 +1192,7 @@ int jtag_init_reset(struct command_context_s *cmd_ctx) { int retval; - if ((retval=jtag_interface_init(cmd_ctx)) != ERROR_OK) + if ((retval = jtag_interface_init(cmd_ctx)) != ERROR_OK) return retval; LOG_DEBUG("Trying to bring the JTAG controller to life by asserting TRST / RESET"); @@ -1236,7 +1236,7 @@ int jtag_init_reset(struct command_context_s *cmd_ctx) int jtag_init(struct command_context_s *cmd_ctx) { int retval; - if ((retval=jtag_interface_init(cmd_ctx)) != ERROR_OK) + if ((retval = jtag_interface_init(cmd_ctx)) != ERROR_OK) return retval; if (jtag_init_inner(cmd_ctx) == ERROR_OK) { diff --git a/src/jtag/driver.c b/src/jtag/driver.c index c3d63067..1555561d 100644 --- a/src/jtag/driver.c +++ b/src/jtag/driver.c @@ -467,23 +467,23 @@ int interface_jtag_add_sleep(uint32_t us) /* add callback to end of queue */ void interface_jtag_add_callback4(jtag_callback_t callback, jtag_callback_data_t data0, jtag_callback_data_t data1, jtag_callback_data_t data2, jtag_callback_data_t data3) { - struct jtag_callback_entry *entry=cmd_queue_alloc(sizeof(struct jtag_callback_entry)); + struct jtag_callback_entry *entry = cmd_queue_alloc(sizeof(struct jtag_callback_entry)); - entry->next=NULL; - entry->callback=callback; - entry->data0=data0; - entry->data1=data1; - entry->data2=data2; - entry->data3=data3; + entry->next = NULL; + entry->callback = callback; + entry->data0 = data0; + entry->data1 = data1; + entry->data2 = data2; + entry->data3 = data3; if (jtag_callback_queue_head == NULL) { - jtag_callback_queue_head=entry; - jtag_callback_queue_tail=entry; + jtag_callback_queue_head = entry; + jtag_callback_queue_tail = entry; } else { - jtag_callback_queue_tail->next=entry; - jtag_callback_queue_tail=entry; + jtag_callback_queue_tail->next = entry; + jtag_callback_queue_tail = entry; } } @@ -493,9 +493,9 @@ int interface_jtag_execute_queue(void) if (retval == ERROR_OK) { struct jtag_callback_entry *entry; - for (entry=jtag_callback_queue_head; entry != NULL; entry=entry->next) + for (entry = jtag_callback_queue_head; entry != NULL; entry = entry->next) { - retval=entry->callback(entry->data0, entry->data1, entry->data2, entry->data3); + retval = entry->callback(entry->data0, entry->data1, entry->data2, entry->data3); if (retval != ERROR_OK) break; } diff --git a/src/jtag/dummy.c b/src/jtag/dummy.c index 497e5a64..75630d96 100644 --- a/src/jtag/dummy.c +++ b/src/jtag/dummy.c @@ -133,11 +133,11 @@ static int dummy_khz(int khz, int *jtag_speed) { if (khz == 0) { - *jtag_speed=0; + *jtag_speed = 0; } else { - *jtag_speed=64000/khz; + *jtag_speed = 64000/khz; } return ERROR_OK; } @@ -150,7 +150,7 @@ static int dummy_speed_div(int speed, int *khz) } else { - *khz=64000/speed; + *khz = 64000/speed; } return ERROR_OK; diff --git a/src/jtag/ft2232.c b/src/jtag/ft2232.c index 07b4bec4..80de04f9 100644 --- a/src/jtag/ft2232.c +++ b/src/jtag/ft2232.c @@ -257,7 +257,7 @@ static void clock_tms(uint8_t mpsse_cmd, int tms_bits, int tms_count, bool tdi_b assert(tms_count > 0); -// LOG_DEBUG("mpsse cmd=%02x, tms_bits=0x%08x, bit_count=%d", mpsse_cmd, tms_bits, tms_count); +// LOG_DEBUG("mpsse cmd=%02x, tms_bits = 0x%08x, bit_count=%d", mpsse_cmd, tms_bits, tms_count); for (tms_byte = tms_ndx = i = 0; i < tms_count; ++i, tms_bits>>=1) { @@ -470,7 +470,7 @@ static int ft2232_speed(int speed) ft2232_adaptive_clocking(speed); buf[0] = 0x86; /* command "set divisor" */ - buf[1] = speed & 0xff; /* valueL (0=6MHz, 1=3MHz, 2=2.0MHz, ...*/ + buf[1] = speed & 0xff; /* valueL (0 = 6MHz, 1 = 3MHz, 2 = 2.0MHz, ...*/ buf[2] = (speed >> 8) & 0xff; /* valueH */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); @@ -623,8 +623,8 @@ static int ft2232_send_and_recv(jtag_command_t* first, jtag_command_t* last) int scan_size; enum scan_type type; int retval; - uint32_t bytes_written=0; - uint32_t bytes_read=0; + uint32_t bytes_written = 0; + uint32_t bytes_read = 0; #ifdef _DEBUG_USB_IO_ struct timeval start, inter, inter2, end; @@ -2194,8 +2194,8 @@ static int usbjtag_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, xRST high) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, xRST high) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) @@ -2218,8 +2218,8 @@ static int axm0432_jtag_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, nOE=0) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in, nOE=out */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, nOE = 0) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in, nOE = out */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) @@ -2289,8 +2289,8 @@ static int jtagkey_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, nOE=0) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in, nOE=out */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, nOE = 0) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in, nOE = out */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) @@ -2372,8 +2372,8 @@ static int olimex_jtag_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, nOE=0) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in, nOE=out */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, nOE = 0) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in, nOE = out */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) @@ -2440,8 +2440,8 @@ static int flyswatter_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, nOE=0) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in, nOE[12]=out, n[ST]srst=out */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, nOE = 0) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in, nOE[12]=out, n[ST]srst = out */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) @@ -2487,8 +2487,8 @@ static int turtle_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, nOE=0) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in, nOE=out */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, nOE = 0) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in, nOE = out */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) @@ -2528,8 +2528,8 @@ static int comstick_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, nOE=0) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in, nOE=out */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, nOE = 0) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in, nOE = out */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) @@ -2572,8 +2572,8 @@ static int stm32stick_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, nOE=0) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in, nOE=out */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, nOE = 0) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in, nOE = out */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) @@ -2616,8 +2616,8 @@ static int sheevaplug_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, nOE=0) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, nOE = 0) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) @@ -2667,8 +2667,8 @@ static int cortino_jtag_init(void) /* initialize low byte for jtag */ buf[0] = 0x80; /* command "set data bits low byte" */ - buf[1] = low_output; /* value (TMS=1,TCK=0, TDI=0, nOE=0) */ - buf[2] = low_direction; /* dir (output=1), TCK/TDI/TMS=out, TDO=in, nOE=out */ + buf[1] = low_output; /* value (TMS = 1,TCK = 0, TDI = 0, nOE = 0) */ + buf[2] = low_direction; /* dir (output = 1), TCK/TDI/TMS = out, TDO = in, nOE = out */ LOG_DEBUG("%2.2x %2.2x %2.2x", buf[0], buf[1], buf[2]); if (((ft2232_write(buf, 3, &bytes_written)) != ERROR_OK) || (bytes_written != 3)) diff --git a/src/jtag/gw16012.c b/src/jtag/gw16012.c index 6991a66c..51958db4 100644 --- a/src/jtag/gw16012.c +++ b/src/jtag/gw16012.c @@ -196,7 +196,7 @@ static void gw16012_end_state(tap_state_t state) static void gw16012_state_move(void) { - int i=0, tms=0; + int i = 0, tms = 0; uint8_t tms_scan = tap_get_tms_path(tap_get_state(), tap_get_end_state()); int tms_count = tap_get_tms_path_len(tap_get_state(), tap_get_end_state()); diff --git a/src/jtag/interface.h b/src/jtag/interface.h index 83ff6fa4..1fdd8879 100644 --- a/src/jtag/interface.h +++ b/src/jtag/interface.h @@ -228,7 +228,7 @@ typedef struct jtag_interface_s int (*quit)(void); /** - * Returns JTAG maxium speed for KHz. 0=RTCK. The function returns + * Returns JTAG maxium speed for KHz. 0 = RTCK. The function returns * a failure if it can't support the KHz/RTCK. * * WARNING!!!! if RTCK is *slow* then think carefully about diff --git a/src/jtag/jlink.c b/src/jtag/jlink.c index 0b39acfe..bab1a31c 100644 --- a/src/jtag/jlink.c +++ b/src/jtag/jlink.c @@ -669,7 +669,7 @@ static int jlink_handle_jlink_hw_jtag_command(struct command_context_s *cmd_ctx, /* J-Link tap functions */ -static unsigned tap_length=0; +static unsigned tap_length = 0; static uint8_t tms_buffer[JLINK_TAP_BUFFER_SIZE]; static uint8_t tdi_buffer[JLINK_TAP_BUFFER_SIZE]; static uint8_t tdo_buffer[JLINK_TAP_BUFFER_SIZE]; @@ -931,13 +931,13 @@ static int jlink_usb_message(jlink_jtag_t *jlink_jtag, int out_length, int in_le result2 = jlink_usb_read_emu_result(jlink_jtag); if (1 != result2) { - LOG_ERROR("jlink_usb_read_emu_result retried requested=1, result=%d, in_length=%i", result2,in_length); + LOG_ERROR("jlink_usb_read_emu_result retried requested = 1, result=%d, in_length=%i", result2,in_length); /* Try again once, should only happen if (in_length%64 == 0) */ result2 = jlink_usb_read_emu_result(jlink_jtag); if (1 != result2) { LOG_ERROR("jlink_usb_read_emu_result failed " - "(requested=1, result=%d)", result2); + "(requested = 1, result=%d)", result2); return ERROR_JTAG_DEVICE_ERROR; } } diff --git a/src/jtag/minidummy/minidummy.c b/src/jtag/minidummy/minidummy.c index 7a7e9371..3c554129 100644 --- a/src/jtag/minidummy/minidummy.c +++ b/src/jtag/minidummy/minidummy.c @@ -145,7 +145,7 @@ int interface_jtag_add_pathmove(int num_states, const tap_state_t *path) state_count = 0; - tap_state_t cur_state=cmd_queue_cur_state; + tap_state_t cur_state = cmd_queue_cur_state; while (num_states) { diff --git a/src/jtag/parport.c b/src/jtag/parport.c index c12125c1..5e329d42 100644 --- a/src/jtag/parport.c +++ b/src/jtag/parport.c @@ -344,7 +344,7 @@ static int parport_init(void) LOG_DEBUG("...open"); #if !defined(__FreeBSD__) && !defined(__FreeBSD_kernel__) - i=ioctl(device_handle, PPCLAIM); + i = ioctl(device_handle, PPCLAIM); if (i<0) { LOG_ERROR("cannot claim device"); diff --git a/src/jtag/presto.c b/src/jtag/presto.c index 1d03bdd1..b1ca3bdd 100644 --- a/src/jtag/presto.c +++ b/src/jtag/presto.c @@ -292,7 +292,7 @@ static int presto_open_ftd2xx(char *req_serial) presto_data = 0; for (i = 0; i < 4 * 62; i++) - if ((presto->status=FT_Write(presto->handle, &presto_data, 1, &ftbytes)) != FT_OK) + if ((presto->status = FT_Write(presto->handle, &presto_data, 1, &ftbytes)) != FT_OK) return ERROR_JTAG_DEVICE_ERROR; usleep(100000); @@ -407,21 +407,21 @@ static int presto_open_libftdi(char *req_serial) static int presto_open(char *req_serial) { - presto->buff_out_pos=0; - presto->buff_in_pos=0; - presto->buff_in_len=0; - presto->buff_in_exp=0; + presto->buff_out_pos = 0; + presto->buff_in_pos = 0; + presto->buff_in_len = 0; + presto->buff_in_exp = 0; - presto->total_out=0; - presto->total_in=0; + presto->total_out = 0; + presto->total_in = 0; - presto->jtag_tms=0; - presto->jtag_tck=0; - presto->jtag_rst=0; - presto->jtag_tdi_data=0; - presto->jtag_tdi_count=0; + presto->jtag_tms = 0; + presto->jtag_tck = 0; + presto->jtag_rst = 0; + presto->jtag_tdi_data = 0; + presto->jtag_tdi_count = 0; - presto->jtag_speed=0; + presto->jtag_speed = 0; #if BUILD_PRESTO_FTD2XX == 1 return presto_open_ftd2xx(req_serial); @@ -625,14 +625,14 @@ static int presto_bitq_out(int tms, int tdi, int tdo_req) } /* delay with TCK low */ - for (i=presto->jtag_speed; i>1; i--) + for (i = presto->jtag_speed; i>1; i--) presto_sendbyte(cmd); cmd |= 0x04; presto_sendbyte(cmd | (tdo_req ? 0x10 : 0)); /* delay with TCK high */ - for (i=presto->jtag_speed; i>1; i--) + for (i = presto->jtag_speed; i>1; i--) presto_sendbyte(cmd); presto->jtag_tck = 1; @@ -707,7 +707,7 @@ static int presto_jtag_khz(int khz, int *jtag_speed) { if (khz < 0) { - *jtag_speed=0; + *jtag_speed = 0; return ERROR_INVALID_ARGUMENTS; } @@ -721,7 +721,7 @@ static int presto_jtag_speed_div(int speed, int *khz) { if ((speed < 0) || (speed > 1000)) { - *khz=0; + *khz = 0; return ERROR_INVALID_ARGUMENTS; } diff --git a/src/jtag/rlink/rlink.c b/src/jtag/rlink/rlink.c index 11381d68..2f14e86f 100644 --- a/src/jtag/rlink/rlink.c +++ b/src/jtag/rlink/rlink.c @@ -915,7 +915,7 @@ void rlink_end_state(tap_state_t state) static void rlink_state_move(void) { - int i=0, tms=0; + int i = 0, tms = 0; uint8_t tms_scan = tap_get_tms_path(tap_get_state(), tap_get_end_state()); int tms_count = tap_get_tms_path_len(tap_get_state(), tap_get_end_state()); @@ -1131,7 +1131,7 @@ rlink_scan( #if 0 - printf("scan_size = %d, type=0x%x\n", scan_size, type); + printf("scan_size = %d, type = 0x%x\n", scan_size, type); { int i; @@ -1620,8 +1620,8 @@ int rlink_init(void) struct usb_bus *busses; struct usb_bus *bus; int i, j, retries; - int found=0; - int success=0; + int found = 0; + int success = 0; uint8_t reply_buffer[USB_EP1IN_SIZE]; usb_init(); @@ -1654,7 +1654,7 @@ int rlink_init(void) break; } - pHDev=usb_open(dev); + pHDev = usb_open(dev); if ( !pHDev ) LOG_ERROR ("Failed to open device.\n"); else @@ -1692,7 +1692,7 @@ int rlink_init(void) break; } else - success=1; + success = 1; } } } while (0); diff --git a/src/jtag/tcl.c b/src/jtag/tcl.c index 88f335a6..64396df9 100644 --- a/src/jtag/tcl.c +++ b/src/jtag/tcl.c @@ -721,13 +721,13 @@ static int default_speed_div(int speed, int *khz) static int default_power_dropout(int *dropout) { - *dropout=0; /* by default we can't detect power dropout */ + *dropout = 0; /* by default we can't detect power dropout */ return ERROR_OK; } static int default_srst_asserted(int *srst_asserted) { - *srst_asserted=0; /* by default we can't detect srst asserted */ + *srst_asserted = 0; /* by default we can't detect srst asserted */ return ERROR_OK; } @@ -1331,7 +1331,7 @@ static int Jim_Command_drscan(Jim_Interp *interp, int argc, Jim_Obj *const *args return JIM_ERR; } - num_fields=(argc-2)/2; + num_fields = (argc-2)/2; fields = malloc(sizeof(scan_field_t) * num_fields); for (i = 2; i < argc; i += 2) { @@ -1359,7 +1359,7 @@ static int Jim_Command_drscan(Jim_Interp *interp, int argc, Jim_Obj *const *args return JIM_ERR; } - field_count=0; + field_count = 0; Jim_Obj *list = Jim_NewListObj(interp, NULL, 0); for (i = 2; i < argc; i += 2) { @@ -1396,7 +1396,7 @@ static int Jim_Command_pathmove(Jim_Interp *interp, int argc, Jim_Obj *const *ar script_debug(interp, "pathmove", argc, args); int i; - for (i=0; i> i); @@ -157,9 +157,9 @@ static __inline__ void interface_jtag_add_dr_out_core(jtag_tap_t *target_tap, enum tap_state pause_state = TAP_DRSHIFT; jtag_tap_t *tap, *nextTap; - for (tap = jtag_tap_next_enabled(NULL); tap!= NULL; tap=nextTap) + for (tap = jtag_tap_next_enabled(NULL); tap!= NULL; tap = nextTap) { - nextTap=jtag_tap_next_enabled(tap); + nextTap = jtag_tap_next_enabled(tap); if (nextTap == NULL) { pause_state = end_state; @@ -167,7 +167,7 @@ static __inline__ void interface_jtag_add_dr_out_core(jtag_tap_t *target_tap, if (tap == target_tap) { int j; - for (j=0; j<(num_fields-1); j++) + for (j = 0; j<(num_fields-1); j++) { shiftValueInner(TAP_DRSHIFT, TAP_DRSHIFT, num_bits[j], value[j]); } @@ -187,7 +187,7 @@ static __inline__ void interface_jtag_add_dr_out(jtag_tap_t *target_tap, enum tap_state end_state) { - int singletap=(jtag_tap_next_enabled(jtag_tap_next_enabled(NULL)) == NULL); + int singletap = (jtag_tap_next_enabled(jtag_tap_next_enabled(NULL)) == NULL); if ((singletap) && (num_fields == 3)) { /* used by embeddedice_write_reg_inner() */ diff --git a/src/jtag/zy1000/zy1000.c b/src/jtag/zy1000/zy1000.c index 079e1b99..c5239ee8 100644 --- a/src/jtag/zy1000/zy1000.c +++ b/src/jtag/zy1000/zy1000.c @@ -51,11 +51,11 @@ static int zy1000_khz(int khz, int *jtag_speed) { if (khz == 0) { - *jtag_speed=0; + *jtag_speed = 0; } else { - *jtag_speed=64000/khz; + *jtag_speed = 64000/khz; } return ERROR_OK; } @@ -68,7 +68,7 @@ static int zy1000_speed_div(int speed, int *khz) } else { - *khz=64000/speed; + *khz = 64000/speed; } return ERROR_OK; @@ -99,13 +99,13 @@ static bool readSRST(void) static int zy1000_srst_asserted(int *srst_asserted) { - *srst_asserted=readSRST(); + *srst_asserted = readSRST(); return ERROR_OK; } static int zy1000_power_dropout(int *dropout) { - *dropout=readPowerDropout(); + *dropout = readPowerDropout(); return ERROR_OK; } @@ -164,7 +164,7 @@ void zy1000_reset(int trst, int srst) if (!srst) { int i; - for (i=0; i<1000; i++) + for (i = 0; i<1000; i++) { // We don't want to sense our own reset, so we clear here. // There is of course a timing hole where we could loose @@ -260,11 +260,11 @@ static int jim_zy1000_version(Jim_Interp *interp, int argc, Jim_Obj *const *argv if ((argc < 1) || (argc > 2)) return JIM_ERR; char buff[128]; - const char *version_str=NULL; + const char *version_str = NULL; if (argc == 1) { - version_str=ZYLIN_OPENOCD_VERSION; + version_str = ZYLIN_OPENOCD_VERSION; } else { const char *str = Jim_GetString(argv[1], NULL); @@ -273,15 +273,15 @@ static int jim_zy1000_version(Jim_Interp *interp, int argc, Jim_Obj *const *argv int revision; revision = atol(ZYLIN_OPENOCD+strlen("XRevision: ")); sprintf(buff, "%d", revision); - version_str=buff; + version_str = buff; } else if (strcmp("zy1000", str) == 0) { - version_str=ZYLIN_VERSION; + version_str = ZYLIN_VERSION; } else if (strcmp("date", str) == 0) { - version_str=ZYLIN_DATE; + version_str = ZYLIN_DATE; } else { @@ -402,8 +402,8 @@ static void shiftValueInnerFlip(const tap_state_t state, const tap_state_t endSt { VERBOSE(LOG_INFO("shiftValueInner %s %s %d %08x (flipped)", tap_state_name(state), tap_state_name(endState), repeat, value)); cyg_uint32 a,b; - a=state; - b=endState; + a = state; + b = endState; ZY1000_POKE(ZY1000_JTAG_BASE+0xc, value); ZY1000_POKE(ZY1000_JTAG_BASE+0x8, (1 << 15)|(repeat << 8)|(a << 4)|b); VERBOSE(getShiftValueFlip()); @@ -422,40 +422,40 @@ static __inline void scanFields(int num_fields, const scan_field_t *fields, tap_ { cyg_uint32 value; - uint8_t *inBuffer=NULL; + uint8_t *inBuffer = NULL; // figure out where to store the input data - int num_bits=fields[i].num_bits; + int num_bits = fields[i].num_bits; if (fields[i].in_value != NULL) { - inBuffer=fields[i].in_value; + inBuffer = fields[i].in_value; } // here we shuffle N bits out/in - j=0; + j = 0; while (j32) { - k=32; + k = 32; /* we have more to shift out */ } else if (i == num_fields-1) { /* this was the last to shift out this time */ - pause_state=end_state; + pause_state = end_state; } // we have (num_bits+7)/8 bytes of bits to toggle out. // bits are pushed out LSB to MSB - value=0; + value = 0; if (fields[i].out_value != NULL) { - for (l=0; l>= 32-k; - for (l=0; l> l)&0xff; } @@ -494,9 +494,9 @@ int interface_jtag_add_ir_scan(int num_fields, const scan_field_t *fields, tap_s int j; int scan_size = 0; jtag_tap_t *tap, *nextTap; - for (tap = jtag_tap_next_enabled(NULL); tap!= NULL; tap=nextTap) + for (tap = jtag_tap_next_enabled(NULL); tap!= NULL; tap = nextTap) { - nextTap=jtag_tap_next_enabled(tap); + nextTap = jtag_tap_next_enabled(tap); tap_state_t end_state; if (nextTap == NULL) { @@ -511,7 +511,7 @@ int interface_jtag_add_ir_scan(int num_fields, const scan_field_t *fields, tap_s scan_size = tap->ir_length; /* search the list */ - for (j=0; j < num_fields; j++) + for (j = 0; j < num_fields; j++) { if (tap == fields[j].tap) { @@ -563,10 +563,10 @@ int interface_jtag_add_dr_scan(int num_fields, const scan_field_t *fields, tap_s int j; jtag_tap_t *tap, *nextTap; - for (tap = jtag_tap_next_enabled(NULL); tap!= NULL; tap=nextTap) + for (tap = jtag_tap_next_enabled(NULL); tap!= NULL; tap = nextTap) { - nextTap=jtag_tap_next_enabled(tap); - int found=0; + nextTap = jtag_tap_next_enabled(tap); + int found = 0; tap_state_t end_state; if (nextTap == NULL) { @@ -576,7 +576,7 @@ int interface_jtag_add_dr_scan(int num_fields, const scan_field_t *fields, tap_s end_state = TAP_DRSHIFT; } - for (j=0; j < num_fields; j++) + for (j = 0; j < num_fields; j++) { if (tap == fields[j].tap) { @@ -634,13 +634,13 @@ static int zy1000_jtag_add_clocks(int num_cycles, tap_state_t state, tap_state_t /* execute num_cycles, 32 at the time. */ int i; - for (i=0; i