From 01a5d87d5f5788542c5d26da1c19fa4e634adc10 Mon Sep 17 00:00:00 2001 From: oharboe Date: Wed, 5 Mar 2008 19:29:41 +0000 Subject: - This speeds up dcc arm7_9 bulk write a little bit and exercises the jtag_add_dr_out() codepath - added a check to jtag_add_pathmove() for legal path transitions - tweaked jtag.h docs a little bit - made some jtag bypass tests _DEBUG_JTAG_IO_ git-svn-id: svn://svn.berlios.de/openocd/trunk@448 b42882b7-edfa-0310-969c-e2dbd0fdcd60 --- src/target/embeddedice.h | 6 ++---- 1 file changed, 2 insertions(+), 4 deletions(-) (limited to 'src/target/embeddedice.h') diff --git a/src/target/embeddedice.h b/src/target/embeddedice.h index dde37f65..20cf2b4e 100644 --- a/src/target/embeddedice.h +++ b/src/target/embeddedice.h @@ -104,10 +104,8 @@ extern int embeddedice_handshake(arm_jtag_t *jtag_info, int hsbit, u32 timeout); /* If many embeddedice_write_reg() follow eachother, then the >1 invocations can be this faster version of * embeddedice_write_reg */ -static __inline__ void embeddedice_write_reg_inner(reg_t *reg, u32 value) +static __inline__ void embeddedice_write_reg_inner(int chain_pos, int reg_addr, u32 value) { - embeddedice_reg_t *ice_reg = reg->arch_info; - u8 reg_addr = ice_reg->addr & 0x1f; #if 1 u32 values[3]; int num_bits[3]; @@ -119,7 +117,7 @@ static __inline__ void embeddedice_write_reg_inner(reg_t *reg, u32 value) values[2]=1; num_bits[2]=1; - jtag_add_dr_out(ice_reg->jtag_info->chain_pos, + jtag_add_dr_out(chain_pos, 3, num_bits, values, -- cgit v1.2.3