From 01a5d87d5f5788542c5d26da1c19fa4e634adc10 Mon Sep 17 00:00:00 2001 From: oharboe Date: Wed, 5 Mar 2008 19:29:41 +0000 Subject: - This speeds up dcc arm7_9 bulk write a little bit and exercises the jtag_add_dr_out() codepath - added a check to jtag_add_pathmove() for legal path transitions - tweaked jtag.h docs a little bit - made some jtag bypass tests _DEBUG_JTAG_IO_ git-svn-id: svn://svn.berlios.de/openocd/trunk@448 b42882b7-edfa-0310-969c-e2dbd0fdcd60 --- src/target/embeddedice.c | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) (limited to 'src/target/embeddedice.c') diff --git a/src/target/embeddedice.c b/src/target/embeddedice.c index 30258270..3b9af755 100644 --- a/src/target/embeddedice.c +++ b/src/target/embeddedice.c @@ -382,7 +382,8 @@ int embeddedice_write_reg(reg_t *reg, u32 value) arm_jtag_set_instr(ice_reg->jtag_info, ice_reg->jtag_info->intest_instr, NULL); - embeddedice_write_reg_inner(reg, value); + u8 reg_addr = ice_reg->addr & 0x1f; + embeddedice_write_reg_inner(ice_reg->jtag_info->chain_pos, reg_addr, value); return ERROR_OK; } -- cgit v1.2.3