summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--hardware-v1/eagle.epf352
-rw-r--r--hardware-v2/.gitignore4
-rw-r--r--hardware-v2/ram-ice.brd2353
-rw-r--r--hardware-v2/ram-ice.rep230
-rw-r--r--hardware-v2/ram-ice.sch5460
-rw-r--r--hardware/ram-ice.brd1283
-rw-r--r--hardware/ram-ice.sch908
-rw-r--r--vhdl/ram-ice.xise16
8 files changed, 9233 insertions, 1373 deletions
diff --git a/hardware-v1/eagle.epf b/hardware-v1/eagle.epf
deleted file mode 100644
index ff48142..0000000
--- a/hardware-v1/eagle.epf
+++ /dev/null
@@ -1,352 +0,0 @@
-[Eagle]
-Version="06 04 00"
-Platform="Linux"
-Serial="62191E841E-LSR-WLM-1EL"
-Globals="Globals"
-Desktop="Desktop"
-
-[Globals]
-AutoSaveProject=1
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/19inch.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/40xx.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/41xx.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/45xx.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/74ac-logic.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/74ttl-din.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/74xx-eu.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/74xx-little-de.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/74xx-little-us.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/74xx-us.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/751xx.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/advanced-test-technologies.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/agilent-technologies.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/allegro.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/altera-cyclone-II.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/altera-cyclone-III.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/altera-stratix-iv.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/altera.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/am29-memory.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/amd-mach.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/amd.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/amis.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/analog-devices.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/ase.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/atmel.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/austriamicrosystems.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/avago.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/axis.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/battery.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/belton-engineering.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/burr-brown.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/busbar.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/buzzer.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/c-trimm.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/california-micro-devices.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/capacitor-wima.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/chipcard-siemens.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/cirrus-logic.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-3m.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-4ucon.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-amp-champ.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-amp-micromatch.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-amp-mt.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-amp-mt6.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-amp-quick.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-amp-te.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-amp.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-amphenol.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-avx.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-berg.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-bosch.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-chipcard-iso7816.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-coax.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-commcon.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-conrad.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-cpci.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-cui.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-cypressindustries.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-deutsch.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-dil.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-ebyelectro.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-elco.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-erni.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-faston.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-fci.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-friwo.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-garry.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-harting-h.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-harting-ml.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-harting-v.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-harting.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-hirose.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-hirschmann.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-jack.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-jae.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-jst.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-kycon.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-kyocera-elco.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-lemo.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-leotronics.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-lsta.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-lstb.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-lumberg.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-ml.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-molex.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-neutrik_ag.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-omron.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-panasonic.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-panduit.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-pc.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-pc104.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-phoenix-254.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-phoenix-3.81.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-phoenix-350.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-phoenix-500.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-phoenix-508.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-phoenix-762.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-phoenix-me_max.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-phoenix-mkds_5.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-phoenix-smkdsp.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-ptr500.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-pulse.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-rib.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-samtec.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-shallin.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-shiua-chyuan.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-stewart.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-stocko.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-subd.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-sullinselectronics.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-thomas-betts.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-tyco.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-tycoelectronics.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-vg.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-wago-500.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-wago-508.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-wago.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-wago255.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-weidmueller-sl35.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-wenzhou-yihua.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-xmultiple.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/con-yamaichi.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/crystal.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/csr.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/cypress.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/davicom.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/dc-dc-converter.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/dimensions.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/diode.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/discrete.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/display-hp.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/display-kingbright.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/display-lcd.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/docu-dummy.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/eagle-ltspice.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/ecl.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/em-microelectronic.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/etx-board.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/exar.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/fairchild-semic.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/farnell.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/fiber-optic-hp.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/fiber-optic-siemens.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/fifo.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/flexipanel.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/fox-electronics.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/frames.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/freescale.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/ftdichip.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/fujitsu.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/fuse.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/gennum.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/halo-electronics.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/heatsink.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/holes.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/holtek.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/ic-package.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/inductor-coilcraft.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/inductor-neosid.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/inductor-nkl.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/inductors.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/infineon-tricore.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/infineon.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/intersil-techwell.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/intersil.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/ir.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/isd.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/johanson-technology.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/jump-0r-smd.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/jumper.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/lantronix.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/lattice.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/lc-filter.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/led-7-segment.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/led-citizen-electronics.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/led-lumiled.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/led.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/lem.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/linear-technology.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/linear.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/linx.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/logo.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/lprs.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/lsi-computer-systems.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/lumiled.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/marks.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/maxim.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/maxstream.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/melexis.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/memory-hitachi.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/memory-idt.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/memory-micron.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/memory-motorola-dram.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/memory-nec.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/memory-samsung.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/memory-sram.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/memory.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/mems.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micrel.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-cyrod.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-fujitsu.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-harris.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-hitachi.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-infineon.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-intel.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-mc68000.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-motorola.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-philips.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-renesas.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-samsung.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micro-siemens.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/microchip.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micron.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/micronas.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/microphon.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/microwave.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/midori-sensor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/minicircuits.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/mitsubishi-semiconductor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/motorola-sensor-driver.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/murata-filter.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/murata-sensor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/nanotec.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/national-instruments.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/national-semiconductor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/nec-lqfp100-pack.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/nec.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/nrj-semiconductor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/omnivision.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/on-semiconductor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/opto-honeywell-3000.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/opto-honeywell-4000.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/opto-honeywell.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/opto-micro-linear.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/opto-trans-siemens.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/opto-transmittter-hp.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/opto-vishay.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/optocoupler.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/pal.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/philips-semiconductors.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/photo-elements.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/piher.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/pinhead.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/plcc-socket.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/pld-intel.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/plxtech.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/pot-vitrohm.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/pot-xicor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/pot.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/ptc-ntc.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/quantum-research-group.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/rcl.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/recom-international.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/rectifier.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/ref-packages-longpad.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/ref-packages.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/relay.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/renesas.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/resistor-bourns.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/resistor-dil.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/resistor-net.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/resistor-power.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/resistor-ruf.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/resistor-shunt.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/resistor-sil.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/resistor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/rf-micro-devices.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/rf-solutions.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/rohm.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/semicon-smd-ipc.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/sensor-comus-group.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/sensor-heraeus.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/sensor-infratec.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/sharp.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/silabs.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/sim-technology.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/sipex.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/smd-ipc.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/smd-special.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/solomon-systech.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/solpad.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/special-drill.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/special.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/st-microelectronics.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/supertex.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/supply1.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/supply2.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/switch-alps.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/switch-coto.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/switch-dil.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/switch-misc.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/switch-omron.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/switch-raychem.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/switch-reed.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/switch.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/telcom.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/telecontrolli.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/telefunken.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/testpad.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/texas-sn55-sn75.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/texas.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/toshiba.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/traco-electronic.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/trafo-bei.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/trafo-hammondmfg.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/trafo-siemens.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/trafo-xicon.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/trafo.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/transformer-pulse.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/transistor-fet.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/transistor-neu-to92.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/transistor-npn.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/transistor-pnp.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/transistor-power.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/transistor-small-signal.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/transistor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/triac.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/trimble.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/tripas.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/u-blox.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/uln-udn.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/v-reg-micrel.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/v-reg.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/varistor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/wafer-scale-psd.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/wirepad.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/xicor.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/xilinx-virtex-v5.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/xilinx-xc18v.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/xilinx-xc9.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/xilinx-xcv.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/zetex.lbr"
-UsedLibrary="/home/trygvis/opt/eagle/eagle-6.4.0/lbr/zilog.lbr"
-
-[Win_1]
-Type="Control Panel"
-Loc="0 0 1917 1197"
-State=2
-Number=0
-
-[Desktop]
-Screen="1920 1200"
-Window="Win_1"
diff --git a/hardware-v2/.gitignore b/hardware-v2/.gitignore
new file mode 100644
index 0000000..ba66ed2
--- /dev/null
+++ b/hardware-v2/.gitignore
@@ -0,0 +1,4 @@
+*.b#*
+*.s#*
+*.pro
+eagle.epf
diff --git a/hardware-v2/ram-ice.brd b/hardware-v2/ram-ice.brd
new file mode 100644
index 0000000..e31fae3
--- /dev/null
+++ b/hardware-v2/ram-ice.brd
@@ -0,0 +1,2353 @@
+<?xml version="1.0" encoding="utf-8"?>
+<!DOCTYPE eagle SYSTEM "eagle.dtd">
+<eagle version="6.4">
+<drawing>
+<settings>
+<setting alwaysvectorfont="no"/>
+<setting verticaltext="up"/>
+</settings>
+<grid distance="25" unitdist="mil" unit="mil" style="lines" multiple="1" display="yes" altdistance="0.000000123031" altunitdist="inch" altunit="inch"/>
+<layers>
+<layer number="1" name="Top" color="4" fill="1" visible="yes" active="yes"/>
+<layer number="16" name="Bottom" color="1" fill="1" visible="yes" active="yes"/>
+<layer number="17" name="Pads" color="2" fill="1" visible="yes" active="yes"/>
+<layer number="18" name="Vias" color="2" fill="1" visible="yes" active="yes"/>
+<layer number="19" name="Unrouted" color="6" fill="1" visible="yes" active="yes"/>
+<layer number="20" name="Dimension" color="15" fill="1" visible="yes" active="yes"/>
+<layer number="21" name="tPlace" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="22" name="bPlace" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="23" name="tOrigins" color="15" fill="1" visible="yes" active="yes"/>
+<layer number="24" name="bOrigins" color="15" fill="1" visible="yes" active="yes"/>
+<layer number="25" name="tNames" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="26" name="bNames" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="27" name="tValues" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="28" name="bValues" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="29" name="tStop" color="7" fill="3" visible="no" active="yes"/>
+<layer number="30" name="bStop" color="7" fill="6" visible="no" active="yes"/>
+<layer number="31" name="tCream" color="7" fill="4" visible="no" active="yes"/>
+<layer number="32" name="bCream" color="7" fill="5" visible="no" active="yes"/>
+<layer number="33" name="tFinish" color="6" fill="3" visible="no" active="yes"/>
+<layer number="34" name="bFinish" color="6" fill="6" visible="no" active="yes"/>
+<layer number="35" name="tGlue" color="7" fill="4" visible="no" active="yes"/>
+<layer number="36" name="bGlue" color="7" fill="5" visible="no" active="yes"/>
+<layer number="37" name="tTest" color="7" fill="1" visible="no" active="yes"/>
+<layer number="38" name="bTest" color="7" fill="1" visible="no" active="yes"/>
+<layer number="39" name="tKeepout" color="4" fill="11" visible="no" active="yes"/>
+<layer number="40" name="bKeepout" color="1" fill="11" visible="no" active="yes"/>
+<layer number="41" name="tRestrict" color="4" fill="10" visible="no" active="yes"/>
+<layer number="42" name="bRestrict" color="1" fill="10" visible="no" active="yes"/>
+<layer number="43" name="vRestrict" color="2" fill="10" visible="no" active="yes"/>
+<layer number="44" name="Drills" color="7" fill="1" visible="no" active="yes"/>
+<layer number="45" name="Holes" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="46" name="Milling" color="3" fill="1" visible="no" active="yes"/>
+<layer number="47" name="Measures" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="48" name="Document" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="49" name="Reference" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="51" name="tDocu" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="52" name="bDocu" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="91" name="Nets" color="2" fill="1" visible="no" active="no"/>
+<layer number="92" name="Busses" color="1" fill="1" visible="no" active="no"/>
+<layer number="93" name="Pins" color="2" fill="1" visible="no" active="no"/>
+<layer number="94" name="Symbols" color="4" fill="1" visible="no" active="no"/>
+<layer number="95" name="Names" color="7" fill="1" visible="no" active="no"/>
+<layer number="96" name="Values" color="7" fill="1" visible="no" active="no"/>
+<layer number="97" name="Info" color="7" fill="1" visible="no" active="no"/>
+<layer number="98" name="Guide" color="6" fill="1" visible="no" active="no"/>
+</layers>
+<board>
+<plain>
+<wire x1="0" y1="0" x2="100" y2="0" width="0" layer="20"/>
+<wire x1="100" y1="0" x2="100" y2="80" width="0" layer="20"/>
+<wire x1="100" y1="80" x2="0" y2="80" width="0" layer="20"/>
+<wire x1="0" y1="80" x2="0" y2="0" width="0" layer="20"/>
+<dimension x1="0" y1="0" x2="0" y2="56.515" x3="-8.255" y3="28.2575" textsize="1.778" layer="20" visible="yes"/>
+<dimension x1="0" y1="0" x2="92.075" y2="0" x3="46.0375" y3="-6.985" textsize="1.778" layer="20" visible="yes"/>
+</plain>
+<libraries>
+<library name="74xx-eu">
+<description>&lt;b&gt;TTL Devices, 74xx Series with European Symbols&lt;/b&gt;&lt;p&gt;
+Based on the following sources:
+&lt;ul&gt;
+&lt;li&gt;Texas Instruments &lt;i&gt;TTL Data Book&lt;/i&gt;&amp;nbsp;&amp;nbsp;&amp;nbsp;Volume 1, 1996.
+&lt;li&gt;TTL Data Book, Volume 2 , 1993
+&lt;li&gt;National Seminconductor Databook 1990, ALS/LS Logic
+&lt;li&gt;ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0
+&lt;li&gt;http://icmaster.com/ViewCompare.asp
+&lt;/ul&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
+<packages>
+<package name="SO16">
+<description>&lt;b&gt;Small Outline package&lt;/b&gt; 150 mil</description>
+<wire x1="4.699" y1="1.9558" x2="-4.699" y2="1.9558" width="0.1524" layer="51"/>
+<wire x1="4.699" y1="-1.9558" x2="5.08" y2="-1.5748" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.08" y1="1.5748" x2="-4.699" y2="1.9558" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.699" y1="1.9558" x2="5.08" y2="1.5748" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-5.08" y1="-1.5748" x2="-4.699" y2="-1.9558" width="0.1524" layer="21" curve="90"/>
+<wire x1="-4.699" y1="-1.9558" x2="4.699" y2="-1.9558" width="0.1524" layer="51"/>
+<wire x1="5.08" y1="-1.5748" x2="5.08" y2="1.5748" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="1.5748" x2="-5.08" y2="0.508" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0.508" x2="-5.08" y2="-0.508" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="-0.508" x2="-5.08" y2="-1.5748" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0.508" x2="-5.08" y2="-0.508" width="0.1524" layer="21" curve="-180"/>
+<wire x1="-5.08" y1="-1.6002" x2="5.08" y2="-1.6002" width="0.0508" layer="21"/>
+<smd name="1" x="-4.445" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="16" x="-4.445" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="2" x="-3.175" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="3" x="-1.905" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="15" x="-3.175" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="14" x="-1.905" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="4" x="-0.635" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="13" x="-0.635" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="5" x="0.635" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="12" x="0.635" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="6" x="1.905" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="7" x="3.175" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="11" x="1.905" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="10" x="3.175" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="8" x="4.445" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="9" x="4.445" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<text x="-3.81" y="-0.762" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+<text x="-5.461" y="-1.905" size="1.27" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<rectangle x1="-0.889" y1="1.9558" x2="-0.381" y2="3.0988" layer="51"/>
+<rectangle x1="-4.699" y1="-3.0988" x2="-4.191" y2="-1.9558" layer="51"/>
+<rectangle x1="-3.429" y1="-3.0988" x2="-2.921" y2="-1.9558" layer="51"/>
+<rectangle x1="-2.159" y1="-3.0734" x2="-1.651" y2="-1.9304" layer="51"/>
+<rectangle x1="-0.889" y1="-3.0988" x2="-0.381" y2="-1.9558" layer="51"/>
+<rectangle x1="-2.159" y1="1.9558" x2="-1.651" y2="3.0988" layer="51"/>
+<rectangle x1="-3.429" y1="1.9558" x2="-2.921" y2="3.0988" layer="51"/>
+<rectangle x1="-4.699" y1="1.9558" x2="-4.191" y2="3.0988" layer="51"/>
+<rectangle x1="0.381" y1="-3.0988" x2="0.889" y2="-1.9558" layer="51"/>
+<rectangle x1="1.651" y1="-3.0988" x2="2.159" y2="-1.9558" layer="51"/>
+<rectangle x1="2.921" y1="-3.0988" x2="3.429" y2="-1.9558" layer="51"/>
+<rectangle x1="4.191" y1="-3.0988" x2="4.699" y2="-1.9558" layer="51"/>
+<rectangle x1="0.381" y1="1.9558" x2="0.889" y2="3.0988" layer="51"/>
+<rectangle x1="1.651" y1="1.9558" x2="2.159" y2="3.0988" layer="51"/>
+<rectangle x1="2.921" y1="1.9558" x2="3.429" y2="3.0988" layer="51"/>
+<rectangle x1="4.191" y1="1.9558" x2="4.699" y2="3.0988" layer="51"/>
+</package>
+</packages>
+</library>
+<library name="memory-idt">
+<description>&lt;b&gt;IDT Memories&lt;/b&gt;&lt;p&gt;
+Integrated Device Technology, Inc.&lt;p&gt;
+http://www.idt.com&lt;p&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
+<packages>
+<package name="SO28-3">
+<description>&lt;b&gt;Small Outline Package&lt;/b&gt;</description>
+<wire x1="-8.814" y1="-4.248" x2="8.839" y2="-4.248" width="0.1524" layer="21"/>
+<wire x1="8.839" y1="-4.248" x2="8.839" y2="4.248" width="0.1524" layer="21"/>
+<wire x1="8.839" y1="4.248" x2="-8.814" y2="4.248" width="0.1524" layer="21"/>
+<wire x1="-8.814" y1="4.248" x2="-8.814" y2="-4.248" width="0.1524" layer="21"/>
+<circle x="-7.874" y="-3.0988" radius="0.5334" width="0.1524" layer="21"/>
+<smd name="1" x="-8.255" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="2" x="-6.985" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="3" x="-5.715" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="4" x="-4.445" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="5" x="-3.175" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="6" x="-1.905" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="7" x="-0.635" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="8" x="0.635" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="9" x="1.905" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="10" x="3.175" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="20" x="1.905" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="19" x="3.175" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="18" x="4.445" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="17" x="5.715" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="16" x="6.985" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="15" x="8.255" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="14" x="8.255" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="13" x="6.985" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="12" x="5.715" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="11" x="4.445" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="21" x="0.635" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="22" x="-0.635" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="23" x="-1.905" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="24" x="-3.175" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="25" x="-4.445" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="26" x="-5.715" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="27" x="-6.985" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="28" x="-8.255" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<text x="-9.144" y="-4.318" size="1.778" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<text x="-7.874" y="-1.524" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+<rectangle x1="-8.509" y1="-5.7976" x2="-8.001" y2="-4.2736" layer="51"/>
+<rectangle x1="-7.239" y1="-5.7976" x2="-6.731" y2="-4.2736" layer="51"/>
+<rectangle x1="-5.969" y1="-5.7976" x2="-5.461" y2="-4.2736" layer="51"/>
+<rectangle x1="-4.699" y1="-5.7976" x2="-4.191" y2="-4.2736" layer="51"/>
+<rectangle x1="-3.429" y1="-5.7976" x2="-2.921" y2="-4.2736" layer="51"/>
+<rectangle x1="-2.159" y1="-5.7976" x2="-1.651" y2="-4.2736" layer="51"/>
+<rectangle x1="-0.889" y1="-5.7976" x2="-0.381" y2="-4.2736" layer="51"/>
+<rectangle x1="0.381" y1="-5.7976" x2="0.889" y2="-4.2736" layer="51"/>
+<rectangle x1="1.651" y1="-5.7976" x2="2.159" y2="-4.2736" layer="51"/>
+<rectangle x1="2.921" y1="-5.7976" x2="3.429" y2="-4.2736" layer="51"/>
+<rectangle x1="4.191" y1="-5.7976" x2="4.699" y2="-4.2736" layer="51"/>
+<rectangle x1="5.461" y1="-5.7976" x2="5.969" y2="-4.2736" layer="51"/>
+<rectangle x1="6.731" y1="-5.7976" x2="7.239" y2="-4.2736" layer="51"/>
+<rectangle x1="8.001" y1="-5.7976" x2="8.509" y2="-4.2736" layer="51"/>
+<rectangle x1="8.001" y1="4.2735" x2="8.509" y2="5.7975" layer="51"/>
+<rectangle x1="6.731" y1="4.2735" x2="7.239" y2="5.7975" layer="51"/>
+<rectangle x1="5.461" y1="4.2735" x2="5.969" y2="5.7975" layer="51"/>
+<rectangle x1="4.191" y1="4.2735" x2="4.699" y2="5.7975" layer="51"/>
+<rectangle x1="2.921" y1="4.2735" x2="3.429" y2="5.7975" layer="51"/>
+<rectangle x1="1.651" y1="4.2735" x2="2.159" y2="5.7975" layer="51"/>
+<rectangle x1="0.381" y1="4.2735" x2="0.889" y2="5.7975" layer="51"/>
+<rectangle x1="-0.889" y1="4.2735" x2="-0.381" y2="5.7975" layer="51"/>
+<rectangle x1="-2.159" y1="4.2735" x2="-1.651" y2="5.7975" layer="51"/>
+<rectangle x1="-3.429" y1="4.2735" x2="-2.921" y2="5.7975" layer="51"/>
+<rectangle x1="-4.699" y1="4.2735" x2="-4.191" y2="5.7975" layer="51"/>
+<rectangle x1="-5.969" y1="4.2735" x2="-5.461" y2="5.7975" layer="51"/>
+<rectangle x1="-7.239" y1="4.2735" x2="-6.731" y2="5.7975" layer="51"/>
+<rectangle x1="-8.509" y1="4.2735" x2="-8.001" y2="5.7975" layer="51"/>
+</package>
+</packages>
+</library>
+<library name="con-molex">
+<description>&lt;b&gt;Molex Connectors&lt;/b&gt;&lt;p&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
+<packages>
+<package name="70543-14">
+<description>&lt;b&gt;C-Grid SL Connector&lt;/b&gt;&lt;p&gt;
+ vertical, 14 pin</description>
+<wire x1="19.05" y1="-2.8575" x2="19.05" y2="2.8575" width="0.254" layer="21"/>
+<wire x1="19.05" y1="2.8575" x2="-19.05" y2="2.8575" width="0.254" layer="21"/>
+<wire x1="-19.05" y1="2.8575" x2="-19.05" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="-19.05" y1="-2.8575" x2="-3.4925" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="-3.4925" y1="-2.8575" x2="-3.4925" y2="-3.81" width="0.254" layer="21"/>
+<wire x1="-3.4925" y1="-3.81" x2="3.4925" y2="-3.81" width="0.254" layer="21"/>
+<wire x1="3.4925" y1="-3.81" x2="3.4925" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="3.4925" y1="-2.8575" x2="19.05" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="-18.415" y1="2.2225" x2="-18.415" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="18.415" y1="2.2225" x2="18.415" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="-18.415" y1="2.2225" x2="18.415" y2="2.2225" width="0.0508" layer="51"/>
+<wire x1="-18.415" y1="-2.2225" x2="-2.8575" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="-2.8575" y1="-2.2225" x2="2.8575" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="2.8575" y1="-2.2225" x2="18.415" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="2.8575" y1="-3.175" x2="-2.8575" y2="-3.175" width="0.0508" layer="51"/>
+<wire x1="-2.8575" y1="-2.2225" x2="-2.8575" y2="-3.175" width="0.0508" layer="51"/>
+<wire x1="2.8575" y1="-2.2225" x2="2.8575" y2="-3.175" width="0.0508" layer="51"/>
+<pad name="3" x="-11.43" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="2" x="-13.97" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="1" x="-16.51" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="4" x="-8.89" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="5" x="-6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="6" x="-3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="7" x="-1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="8" x="1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="9" x="3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="10" x="6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="11" x="8.89" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="12" x="11.43" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="13" x="13.97" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="14" x="16.51" y="0" drill="1.016" shape="long" rot="R90"/>
+<text x="-19.685" y="-2.54" size="1.016" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<text x="-18.7325" y="3.4925" size="0.8128" layer="27" ratio="10">&gt;VALUE</text>
+<text x="-18.0975" y="-1.5875" size="1.016" layer="51" ratio="10">1</text>
+<rectangle x1="-14.2081" y1="-0.2381" x2="-13.7319" y2="0.2381" layer="51"/>
+<rectangle x1="-16.7481" y1="-0.2381" x2="-16.2719" y2="0.2381" layer="51"/>
+<rectangle x1="-9.1281" y1="-0.2381" x2="-8.6519" y2="0.2381" layer="51"/>
+<rectangle x1="-11.6681" y1="-0.2381" x2="-11.1919" y2="0.2381" layer="51"/>
+<rectangle x1="-4.0481" y1="-0.2381" x2="-3.5719" y2="0.2381" layer="51"/>
+<rectangle x1="-6.5881" y1="-0.2381" x2="-6.1119" y2="0.2381" layer="51"/>
+<rectangle x1="1.0319" y1="-0.2381" x2="1.5081" y2="0.2381" layer="51"/>
+<rectangle x1="-1.5081" y1="-0.2381" x2="-1.0319" y2="0.2381" layer="51"/>
+<rectangle x1="6.1119" y1="-0.2381" x2="6.5881" y2="0.2381" layer="51"/>
+<rectangle x1="3.5719" y1="-0.2381" x2="4.0481" y2="0.2381" layer="51"/>
+<rectangle x1="11.1919" y1="-0.2381" x2="11.6681" y2="0.2381" layer="51"/>
+<rectangle x1="8.6519" y1="-0.2381" x2="9.1281" y2="0.2381" layer="51"/>
+<rectangle x1="13.7319" y1="-0.2381" x2="14.2081" y2="0.2381" layer="51"/>
+<rectangle x1="16.2719" y1="-0.2381" x2="16.7481" y2="0.2381" layer="51"/>
+</package>
+</packages>
+</library>
+<library name="resistor">
+<description>&lt;b&gt;Resistors, Capacitors, Inductors&lt;/b&gt;&lt;p&gt;
+Based on the previous libraries:
+&lt;ul&gt;
+&lt;li&gt;r.lbr
+&lt;li&gt;cap.lbr
+&lt;li&gt;cap-fe.lbr
+&lt;li&gt;captant.lbr
+&lt;li&gt;polcap.lbr
+&lt;li&gt;ipc-smd.lbr
+&lt;/ul&gt;
+All SMD packages are defined according to the IPC specifications and CECC&lt;p&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;&lt;p&gt;
+&lt;p&gt;
+for Electrolyt Capacitors see also :&lt;p&gt;
+www.bccomponents.com &lt;p&gt;
+www.panasonic.com&lt;p&gt;
+www.kemet.com&lt;p&gt;
+&lt;p&gt;
+for trimmer refence see : &lt;u&gt;www.electrospec-inc.com/cross_references/trimpotcrossref.asp&lt;/u&gt;&lt;p&gt;
+
+&lt;map name="nav_main"&gt;
+&lt;area shape="rect" coords="0,1,140,23" href="../military_specs.asp" title=""&gt;
+&lt;area shape="rect" coords="0,24,140,51" href="../about.asp" title=""&gt;
+&lt;area shape="rect" coords="1,52,140,77" href="../rfq.asp" title=""&gt;
+&lt;area shape="rect" coords="0,78,139,103" href="../products.asp" title=""&gt;
+&lt;area shape="rect" coords="1,102,138,128" href="../excess_inventory.asp" title=""&gt;
+&lt;area shape="rect" coords="1,129,138,150" href="../edge.asp" title=""&gt;
+&lt;area shape="rect" coords="1,151,139,178" href="../industry_links.asp" title=""&gt;
+&lt;area shape="rect" coords="0,179,139,201" href="../comments.asp" title=""&gt;
+&lt;area shape="rect" coords="1,203,138,231" href="../directory.asp" title=""&gt;
+&lt;area shape="default" nohref&gt;
+&lt;/map&gt;
+
+&lt;html&gt;
+
+&lt;title&gt;&lt;/title&gt;
+
+ &lt;LINK REL="StyleSheet" TYPE="text/css" HREF="style-sheet.css"&gt;
+
+&lt;body bgcolor="#ffffff" text="#000000" marginwidth="0" marginheight="0" topmargin="0" leftmargin="0"&gt;
+&lt;table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0 height="55%"&gt;
+&lt;tr valign="top"&gt;
+
+&lt;/td&gt;
+&lt;! &lt;td width="10"&gt;&amp;nbsp;&lt;/td&gt;
+&lt;td width="90%"&gt;
+
+&lt;b&gt;&lt;font color="#0000FF" size="4"&gt;TRIM-POT CROSS REFERENCE&lt;/font&gt;&lt;/b&gt;
+&lt;P&gt;
+&lt;TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;RECTANGULAR MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;BOURNS&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;BI&amp;nbsp;TECH&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;DALE-VISHAY&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;PHILIPS/MEPCO&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;MURATA&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;PANASONIC&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;SPECTROL&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;MILSPEC&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;&lt;TD&gt;&amp;nbsp;&lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3 &gt;
+ 3005P&lt;BR&gt;
+ 3006P&lt;BR&gt;
+ 3006W&lt;BR&gt;
+ 3006Y&lt;BR&gt;
+ 3009P&lt;BR&gt;
+ 3009W&lt;BR&gt;
+ 3009Y&lt;BR&gt;
+ 3057J&lt;BR&gt;
+ 3057L&lt;BR&gt;
+ 3057P&lt;BR&gt;
+ 3057Y&lt;BR&gt;
+ 3059J&lt;BR&gt;
+ 3059L&lt;BR&gt;
+ 3059P&lt;BR&gt;
+ 3059Y&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 89P&lt;BR&gt;
+ 89W&lt;BR&gt;
+ 89X&lt;BR&gt;
+ 89PH&lt;BR&gt;
+ 76P&lt;BR&gt;
+ 89XH&lt;BR&gt;
+ 78SLT&lt;BR&gt;
+ 78L&amp;nbsp;ALT&lt;BR&gt;
+ 56P&amp;nbsp;ALT&lt;BR&gt;
+ 78P&amp;nbsp;ALT&lt;BR&gt;
+ T8S&lt;BR&gt;
+ 78L&lt;BR&gt;
+ 56P&lt;BR&gt;
+ 78P&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ T18/784&lt;BR&gt;
+ 783&lt;BR&gt;
+ 781&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 2199&lt;BR&gt;
+ 1697/1897&lt;BR&gt;
+ 1680/1880&lt;BR&gt;
+ 2187&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 8035EKP/CT20/RJ-20P&lt;BR&gt;
+ -&lt;BR&gt;
+ RJ-20X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 1211L&lt;BR&gt;
+ 8012EKQ&amp;nbsp;ALT&lt;BR&gt;
+ 8012EKR&amp;nbsp;ALT&lt;BR&gt;
+ 1211P&lt;BR&gt;
+ 8012EKJ&lt;BR&gt;
+ 8012EKL&lt;BR&gt;
+ 8012EKQ&lt;BR&gt;
+ 8012EKR&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 2101P&lt;BR&gt;
+ 2101W&lt;BR&gt;
+ 2101Y&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 2102L&lt;BR&gt;
+ 2102S&lt;BR&gt;
+ 2102Y&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ EVMCOG&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 43P&lt;BR&gt;
+ 43W&lt;BR&gt;
+ 43Y&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 40L&lt;BR&gt;
+ 40P&lt;BR&gt;
+ 40Y&lt;BR&gt;
+ 70Y-T602&lt;BR&gt;
+ 70L&lt;BR&gt;
+ 70P&lt;BR&gt;
+ 70Y&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ RT/RTR12&lt;BR&gt;
+ RT/RTR12&lt;BR&gt;
+ RT/RTR12&lt;BR&gt;
+ -&lt;BR&gt;
+ RJ/RJR12&lt;BR&gt;
+ RJ/RJR12&lt;BR&gt;
+ RJ/RJR12&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;&amp;nbsp;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;
+ &lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SQUARE MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MURATA&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;SPECTROL&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MILSPEC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 3250L&lt;BR&gt;
+ 3250P&lt;BR&gt;
+ 3250W&lt;BR&gt;
+ 3250X&lt;BR&gt;
+ 3252P&lt;BR&gt;
+ 3252W&lt;BR&gt;
+ 3252X&lt;BR&gt;
+ 3260P&lt;BR&gt;
+ 3260W&lt;BR&gt;
+ 3260X&lt;BR&gt;
+ 3262P&lt;BR&gt;
+ 3262W&lt;BR&gt;
+ 3262X&lt;BR&gt;
+ 3266P&lt;BR&gt;
+ 3266W&lt;BR&gt;
+ 3266X&lt;BR&gt;
+ 3290H&lt;BR&gt;
+ 3290P&lt;BR&gt;
+ 3290W&lt;BR&gt;
+ 3292P&lt;BR&gt;
+ 3292W&lt;BR&gt;
+ 3292X&lt;BR&gt;
+ 3296P&lt;BR&gt;
+ 3296W&lt;BR&gt;
+ 3296X&lt;BR&gt;
+ 3296Y&lt;BR&gt;
+ 3296Z&lt;BR&gt;
+ 3299P&lt;BR&gt;
+ 3299W&lt;BR&gt;
+ 3299X&lt;BR&gt;
+ 3299Y&lt;BR&gt;
+ 3299Z&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 66P&amp;nbsp;ALT&lt;BR&gt;
+ 66W&amp;nbsp;ALT&lt;BR&gt;
+ 66X&amp;nbsp;ALT&lt;BR&gt;
+ 66P&amp;nbsp;ALT&lt;BR&gt;
+ 66W&amp;nbsp;ALT&lt;BR&gt;
+ 66X&amp;nbsp;ALT&lt;BR&gt;
+ -&lt;BR&gt;
+ 64W&amp;nbsp;ALT&lt;BR&gt;
+ -&lt;BR&gt;
+ 64P&amp;nbsp;ALT&lt;BR&gt;
+ 64W&amp;nbsp;ALT&lt;BR&gt;
+ 64X&amp;nbsp;ALT&lt;BR&gt;
+ 64P&lt;BR&gt;
+ 64W&lt;BR&gt;
+ 64X&lt;BR&gt;
+ 66X&amp;nbsp;ALT&lt;BR&gt;
+ 66P&amp;nbsp;ALT&lt;BR&gt;
+ 66W&amp;nbsp;ALT&lt;BR&gt;
+ 66P&lt;BR&gt;
+ 66W&lt;BR&gt;
+ 66X&lt;BR&gt;
+ 67P&lt;BR&gt;
+ 67W&lt;BR&gt;
+ 67X&lt;BR&gt;
+ 67Y&lt;BR&gt;
+ 67Z&lt;BR&gt;
+ 68P&lt;BR&gt;
+ 68W&lt;BR&gt;
+ 68X&lt;BR&gt;
+ 67Y&amp;nbsp;ALT&lt;BR&gt;
+ 67Z&amp;nbsp;ALT&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 5050&lt;BR&gt;
+ 5091&lt;BR&gt;
+ 5080&lt;BR&gt;
+ 5087&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ T63YB&lt;BR&gt;
+ T63XB&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 5887&lt;BR&gt;
+ 5891&lt;BR&gt;
+ 5880&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ T93Z&lt;BR&gt;
+ T93YA&lt;BR&gt;
+ T93XA&lt;BR&gt;
+ T93YB&lt;BR&gt;
+ T93XB&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 8026EKP&lt;BR&gt;
+ 8026EKW&lt;BR&gt;
+ 8026EKM&lt;BR&gt;
+ 8026EKP&lt;BR&gt;
+ 8026EKB&lt;BR&gt;
+ 8026EKM&lt;BR&gt;
+ 1309X&lt;BR&gt;
+ 1309P&lt;BR&gt;
+ 1309W&lt;BR&gt;
+ 8024EKP&lt;BR&gt;
+ 8024EKW&lt;BR&gt;
+ 8024EKN&lt;BR&gt;
+ RJ-9P/CT9P&lt;BR&gt;
+ RJ-9W&lt;BR&gt;
+ RJ-9X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 3103P&lt;BR&gt;
+ 3103Y&lt;BR&gt;
+ 3103Z&lt;BR&gt;
+ 3103P&lt;BR&gt;
+ 3103Y&lt;BR&gt;
+ 3103Z&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 3105P/3106P&lt;BR&gt;
+ 3105W/3106W&lt;BR&gt;
+ 3105X/3106X&lt;BR&gt;
+ 3105Y/3106Y&lt;BR&gt;
+ 3105Z/3105Z&lt;BR&gt;
+ 3102P&lt;BR&gt;
+ 3102W&lt;BR&gt;
+ 3102X&lt;BR&gt;
+ 3102Y&lt;BR&gt;
+ 3102Z&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMCBG&lt;BR&gt;
+ EVMCCG&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 55-1-X&lt;BR&gt;
+ 55-4-X&lt;BR&gt;
+ 55-3-X&lt;BR&gt;
+ 55-2-X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 50-2-X&lt;BR&gt;
+ 50-4-X&lt;BR&gt;
+ 50-3-X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 64P&lt;BR&gt;
+ 64W&lt;BR&gt;
+ 64X&lt;BR&gt;
+ 64Y&lt;BR&gt;
+ 64Z&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ RT/RTR22&lt;BR&gt;
+ RT/RTR22&lt;BR&gt;
+ RT/RTR22&lt;BR&gt;
+ RT/RTR22&lt;BR&gt;
+ RJ/RJR22&lt;BR&gt;
+ RJ/RJR22&lt;BR&gt;
+ RJ/RJR22&lt;BR&gt;
+ RT/RTR26&lt;BR&gt;
+ RT/RTR26&lt;BR&gt;
+ RT/RTR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RT/RTR24&lt;BR&gt;
+ RT/RTR24&lt;BR&gt;
+ RT/RTR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;&amp;nbsp;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;
+ &lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SINGLE TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MURATA&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;SPECTROL&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MILSPEC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 3323P&lt;BR&gt;
+ 3323S&lt;BR&gt;
+ 3323W&lt;BR&gt;
+ 3329H&lt;BR&gt;
+ 3329P&lt;BR&gt;
+ 3329W&lt;BR&gt;
+ 3339H&lt;BR&gt;
+ 3339P&lt;BR&gt;
+ 3339W&lt;BR&gt;
+ 3352E&lt;BR&gt;
+ 3352H&lt;BR&gt;
+ 3352K&lt;BR&gt;
+ 3352P&lt;BR&gt;
+ 3352T&lt;BR&gt;
+ 3352V&lt;BR&gt;
+ 3352W&lt;BR&gt;
+ 3362H&lt;BR&gt;
+ 3362M&lt;BR&gt;
+ 3362P&lt;BR&gt;
+ 3362R&lt;BR&gt;
+ 3362S&lt;BR&gt;
+ 3362U&lt;BR&gt;
+ 3362W&lt;BR&gt;
+ 3362X&lt;BR&gt;
+ 3386B&lt;BR&gt;
+ 3386C&lt;BR&gt;
+ 3386F&lt;BR&gt;
+ 3386H&lt;BR&gt;
+ 3386K&lt;BR&gt;
+ 3386M&lt;BR&gt;
+ 3386P&lt;BR&gt;
+ 3386S&lt;BR&gt;
+ 3386W&lt;BR&gt;
+ 3386X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 25P&lt;BR&gt;
+ 25S&lt;BR&gt;
+ 25RX&lt;BR&gt;
+ 82P&lt;BR&gt;
+ 82M&lt;BR&gt;
+ 82PA&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 91E&lt;BR&gt;
+ 91X&lt;BR&gt;
+ 91T&lt;BR&gt;
+ 91B&lt;BR&gt;
+ 91A&lt;BR&gt;
+ 91V&lt;BR&gt;
+ 91W&lt;BR&gt;
+ 25W&lt;BR&gt;
+ 25V&lt;BR&gt;
+ 25P&lt;BR&gt;
+ -&lt;BR&gt;
+ 25S&lt;BR&gt;
+ 25U&lt;BR&gt;
+ 25RX&lt;BR&gt;
+ 25X&lt;BR&gt;
+ 72XW&lt;BR&gt;
+ 72XL&lt;BR&gt;
+ 72PM&lt;BR&gt;
+ 72RX&lt;BR&gt;
+ -&lt;BR&gt;
+ 72PX&lt;BR&gt;
+ 72P&lt;BR&gt;
+ 72RXW&lt;BR&gt;
+ 72RXL&lt;BR&gt;
+ 72X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ T7YB&lt;BR&gt;
+ T7YA&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ TXD&lt;BR&gt;
+ TYA&lt;BR&gt;
+ TYP&lt;BR&gt;
+ -&lt;BR&gt;
+ TYD&lt;BR&gt;
+ TX&lt;BR&gt;
+ -&lt;BR&gt;
+ 150SX&lt;BR&gt;
+ 100SX&lt;BR&gt;
+ 102T&lt;BR&gt;
+ 101S&lt;BR&gt;
+ 190T&lt;BR&gt;
+ 150TX&lt;BR&gt;
+ 101&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 101SX&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ ET6P&lt;BR&gt;
+ ET6S&lt;BR&gt;
+ ET6X&lt;BR&gt;
+ RJ-6W/8014EMW&lt;BR&gt;
+ RJ-6P/8014EMP&lt;BR&gt;
+ RJ-6X/8014EMX&lt;BR&gt;
+ TM7W&lt;BR&gt;
+ TM7P&lt;BR&gt;
+ TM7X&lt;BR&gt;
+ -&lt;BR&gt;
+ 8017SMS&lt;BR&gt;
+ -&lt;BR&gt;
+ 8017SMB&lt;BR&gt;
+ 8017SMA&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ CT-6W&lt;BR&gt;
+ CT-6H&lt;BR&gt;
+ CT-6P&lt;BR&gt;
+ CT-6R&lt;BR&gt;
+ -&lt;BR&gt;
+ CT-6V&lt;BR&gt;
+ CT-6X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 8038EKV&lt;BR&gt;
+ -&lt;BR&gt;
+ 8038EKX&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 8038EKP&lt;BR&gt;
+ 8038EKZ&lt;BR&gt;
+ 8038EKW&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 3321H&lt;BR&gt;
+ 3321P&lt;BR&gt;
+ 3321N&lt;BR&gt;
+ 1102H&lt;BR&gt;
+ 1102P&lt;BR&gt;
+ 1102T&lt;BR&gt;
+ RVA0911V304A&lt;BR&gt;
+ -&lt;BR&gt;
+ RVA0911H413A&lt;BR&gt;
+ RVG0707V100A&lt;BR&gt;
+ RVA0607V(H)306A&lt;BR&gt;
+ RVA1214H213A&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 3104B&lt;BR&gt;
+ 3104C&lt;BR&gt;
+ 3104F&lt;BR&gt;
+ 3104H&lt;BR&gt;
+ -&lt;BR&gt;
+ 3104M&lt;BR&gt;
+ 3104P&lt;BR&gt;
+ 3104S&lt;BR&gt;
+ 3104W&lt;BR&gt;
+ 3104X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ EVMQ0G&lt;BR&gt;
+ EVMQIG&lt;BR&gt;
+ EVMQ3G&lt;BR&gt;
+ EVMS0G&lt;BR&gt;
+ EVMQ0G&lt;BR&gt;
+ EVMG0G&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMK4GA00B&lt;BR&gt;
+ EVM30GA00B&lt;BR&gt;
+ EVMK0GA00B&lt;BR&gt;
+ EVM38GA00B&lt;BR&gt;
+ EVMB6&lt;BR&gt;
+ EVLQ0&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMMSG&lt;BR&gt;
+ EVMMBG&lt;BR&gt;
+ EVMMAG&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMMCS&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMM1&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMM0&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMM3&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 62-3-1&lt;BR&gt;
+ 62-1-2&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 67R&lt;BR&gt;
+ -&lt;BR&gt;
+ 67P&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 67X&lt;BR&gt;
+ 63V&lt;BR&gt;
+ 63S&lt;BR&gt;
+ 63M&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 63H&lt;BR&gt;
+ 63P&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 63X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ RJ/RJR50&lt;BR&gt;
+ RJ/RJR50&lt;BR&gt;
+ RJ/RJR50&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+&lt;/TABLE&gt;
+&lt;P&gt;&amp;nbsp;&lt;P&gt;
+&lt;TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=7&gt;
+ &lt;FONT color="#0000FF" SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SMD TRIM-POT CROSS REFERENCE&lt;/B&gt;&lt;/FONT&gt;
+ &lt;P&gt;
+ &lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURNS&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;TOCOS&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;AUX/KYOCERA&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 3224G&lt;BR&gt;
+ 3224J&lt;BR&gt;
+ 3224W&lt;BR&gt;
+ 3269P&lt;BR&gt;
+ 3269W&lt;BR&gt;
+ 3269X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 44G&lt;BR&gt;
+ 44J&lt;BR&gt;
+ 44W&lt;BR&gt;
+ 84P&lt;BR&gt;
+ 84W&lt;BR&gt;
+ 84X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ ST63Z&lt;BR&gt;
+ ST63Y&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ ST5P&lt;BR&gt;
+ ST5W&lt;BR&gt;
+ ST5X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=7&gt;&amp;nbsp;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=7&gt;
+ &lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SINGLE TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURNS&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;TOCOS&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;AUX/KYOCERA&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 3314G&lt;BR&gt;
+ 3314J&lt;BR&gt;
+ 3364A/B&lt;BR&gt;
+ 3364C/D&lt;BR&gt;
+ 3364W/X&lt;BR&gt;
+ 3313G&lt;BR&gt;
+ 3313J&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 23B&lt;BR&gt;
+ 23A&lt;BR&gt;
+ 21X&lt;BR&gt;
+ 21W&lt;BR&gt;
+ -&lt;BR&gt;
+ 22B&lt;BR&gt;
+ 22A&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ ST5YL/ST53YL&lt;BR&gt;
+ ST5YJ/5T53YJ&lt;BR&gt;
+ ST-23A&lt;BR&gt;
+ ST-22B&lt;BR&gt;
+ ST-22&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ ST-4B&lt;BR&gt;
+ ST-4A&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ ST-3B&lt;BR&gt;
+ ST-3A&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ EVM-6YS&lt;BR&gt;
+ EVM-1E&lt;BR&gt;
+ EVM-1G&lt;BR&gt;
+ EVM-1D&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ G4B&lt;BR&gt;
+ G4A&lt;BR&gt;
+ TR04-3S1&lt;BR&gt;
+ TRG04-2S1&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ DVR-43A&lt;BR&gt;
+ CVR-42C&lt;BR&gt;
+ CVR-42A/C&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+&lt;/TABLE&gt;
+&lt;P&gt;
+&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;ALT =&amp;nbsp;ALTERNATE&lt;/B&gt;&lt;/FONT&gt;
+&lt;P&gt;
+
+&amp;nbsp;
+&lt;P&gt;
+&lt;/td&gt;
+&lt;/tr&gt;
+&lt;/table&gt;
+&lt;/BODY&gt;&lt;/HTML&gt;</description>
+<packages>
+<package name="C1206">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-2.473" y1="0.983" x2="2.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="2.473" y1="-0.983" x2="-2.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-2.473" y1="-0.983" x2="-2.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="2.473" y1="0.983" x2="2.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-0.965" y1="0.787" x2="0.965" y2="0.787" width="0.1016" layer="51"/>
+<wire x1="-0.965" y1="-0.787" x2="0.965" y2="-0.787" width="0.1016" layer="51"/>
+<smd name="1" x="-1.4" y="0" dx="1.6" dy="1.8" layer="1"/>
+<smd name="2" x="1.4" y="0" dx="1.6" dy="1.8" layer="1"/>
+<text x="-1.27" y="1.143" size="1.27" layer="25">&gt;NAME</text>
+<text x="-1.27" y="-2.413" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.7018" y1="-0.8509" x2="-0.9517" y2="0.8491" layer="51"/>
+<rectangle x1="0.9517" y1="-0.8491" x2="1.7018" y2="0.8509" layer="51"/>
+<rectangle x1="-0.1999" y1="-0.4001" x2="0.1999" y2="0.4001" layer="35"/>
+</package>
+</packages>
+</library>
+<library name="con-lstb">
+<description>&lt;b&gt;Pin Headers&lt;/b&gt;&lt;p&gt;
+Naming:&lt;p&gt;
+MA = male&lt;p&gt;
+# contacts - # rows&lt;p&gt;
+W = angled&lt;p&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
+<packages>
+<package name="MA06-1">
+<description>&lt;b&gt;PIN HEADER&lt;/b&gt;</description>
+<wire x1="-6.985" y1="1.27" x2="-5.715" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-5.715" y1="1.27" x2="-5.08" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="-0.635" x2="-5.715" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0.635" x2="-4.445" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-4.445" y1="1.27" x2="-3.175" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-3.175" y1="1.27" x2="-2.54" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-2.54" y1="-0.635" x2="-3.175" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-3.175" y1="-1.27" x2="-4.445" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-4.445" y1="-1.27" x2="-5.08" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-7.62" y1="0.635" x2="-7.62" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-6.985" y1="1.27" x2="-7.62" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-7.62" y1="-0.635" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-5.715" y1="-1.27" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-2.54" y1="0.635" x2="-1.905" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-1.905" y1="1.27" x2="-0.635" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-0.635" y1="1.27" x2="0" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="0" y1="-0.635" x2="-0.635" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-0.635" y1="-1.27" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-1.905" y1="-1.27" x2="-2.54" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="0.635" y1="1.27" x2="1.905" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="1.905" y1="1.27" x2="2.54" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="2.54" y1="-0.635" x2="1.905" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="2.54" y1="0.635" x2="3.175" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="3.175" y1="1.27" x2="4.445" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="4.445" y1="1.27" x2="5.08" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="5.08" y1="-0.635" x2="4.445" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="4.445" y1="-1.27" x2="3.175" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="3.175" y1="-1.27" x2="2.54" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="0.635" y1="1.27" x2="0" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="0" y1="-0.635" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="1.905" y1="-1.27" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="5.08" y1="0.635" x2="5.715" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="5.715" y1="1.27" x2="6.985" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="6.985" y1="1.27" x2="7.62" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="7.62" y1="-0.635" x2="6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="6.985" y1="-1.27" x2="5.715" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="5.715" y1="-1.27" x2="5.08" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="7.62" y1="0.635" x2="7.62" y2="-0.635" width="0.1524" layer="21"/>
+<pad name="1" x="-6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="2" x="-3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="3" x="-1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="4" x="1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="5" x="3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="6" x="6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<text x="-7.62" y="1.651" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-6.985" y="-2.921" size="1.27" layer="21" ratio="10">1</text>
+<text x="5.715" y="1.651" size="1.27" layer="21" ratio="10">6</text>
+<text x="-2.54" y="-2.921" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+<rectangle x1="-4.064" y1="-0.254" x2="-3.556" y2="0.254" layer="51"/>
+<rectangle x1="-6.604" y1="-0.254" x2="-6.096" y2="0.254" layer="51"/>
+<rectangle x1="-1.524" y1="-0.254" x2="-1.016" y2="0.254" layer="51"/>
+<rectangle x1="3.556" y1="-0.254" x2="4.064" y2="0.254" layer="51"/>
+<rectangle x1="1.016" y1="-0.254" x2="1.524" y2="0.254" layer="51"/>
+<rectangle x1="6.096" y1="-0.254" x2="6.604" y2="0.254" layer="51"/>
+</package>
+</packages>
+</library>
+</libraries>
+<attributes>
+</attributes>
+<variantdefs>
+</variantdefs>
+<classes>
+<class number="0" name="default" width="0" drill="0">
+</class>
+</classes>
+<designrules name="default">
+<description language="en">&lt;b&gt;EAGLE Design Rules&lt;/b&gt;
+&lt;p&gt;
+The default Design Rules have been set to cover
+a wide range of applications. Your particular design
+may have different requirements, so please make the
+necessary adjustments and save your customized
+design rules under a new name.
+</description>
+<param name="layerSetup" value="(1*16)"/>
+<param name="mtCopper" value="0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm 0.035mm"/>
+<param name="mtIsolate" value="1.5mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm 0.15mm 0.2mm"/>
+<param name="mdWireWire" value="8mil"/>
+<param name="mdWirePad" value="8mil"/>
+<param name="mdWireVia" value="8mil"/>
+<param name="mdPadPad" value="8mil"/>
+<param name="mdPadVia" value="8mil"/>
+<param name="mdViaVia" value="8mil"/>
+<param name="mdSmdPad" value="8mil"/>
+<param name="mdSmdVia" value="8mil"/>
+<param name="mdSmdSmd" value="8mil"/>
+<param name="mdViaViaSameLayer" value="8mil"/>
+<param name="mnLayersViaInSmd" value="2"/>
+<param name="mdCopperDimension" value="40mil"/>
+<param name="mdDrill" value="8mil"/>
+<param name="mdSmdStop" value="0mil"/>
+<param name="msWidth" value="10mil"/>
+<param name="msDrill" value="0.6mm"/>
+<param name="msMicroVia" value="9.99mm"/>
+<param name="msBlindViaRatio" value="0.5"/>
+<param name="rvPadTop" value="0.25"/>
+<param name="rvPadInner" value="0.25"/>
+<param name="rvPadBottom" value="0.25"/>
+<param name="rvViaOuter" value="0.25"/>
+<param name="rvViaInner" value="0.25"/>
+<param name="rvMicroViaOuter" value="0.25"/>
+<param name="rvMicroViaInner" value="0.25"/>
+<param name="rlMinPadTop" value="10mil"/>
+<param name="rlMaxPadTop" value="20mil"/>
+<param name="rlMinPadInner" value="10mil"/>
+<param name="rlMaxPadInner" value="20mil"/>
+<param name="rlMinPadBottom" value="10mil"/>
+<param name="rlMaxPadBottom" value="20mil"/>
+<param name="rlMinViaOuter" value="8mil"/>
+<param name="rlMaxViaOuter" value="20mil"/>
+<param name="rlMinViaInner" value="8mil"/>
+<param name="rlMaxViaInner" value="20mil"/>
+<param name="rlMinMicroViaOuter" value="4mil"/>
+<param name="rlMaxMicroViaOuter" value="20mil"/>
+<param name="rlMinMicroViaInner" value="4mil"/>
+<param name="rlMaxMicroViaInner" value="20mil"/>
+<param name="psTop" value="-1"/>
+<param name="psBottom" value="-1"/>
+<param name="psFirst" value="-1"/>
+<param name="psElongationLong" value="100"/>
+<param name="psElongationOffset" value="100"/>
+<param name="mvStopFrame" value="1"/>
+<param name="mvCreamFrame" value="0"/>
+<param name="mlMinStopFrame" value="4mil"/>
+<param name="mlMaxStopFrame" value="4mil"/>
+<param name="mlMinCreamFrame" value="0mil"/>
+<param name="mlMaxCreamFrame" value="0mil"/>
+<param name="mlViaStopLimit" value="0mil"/>
+<param name="srRoundness" value="0"/>
+<param name="srMinRoundness" value="0mil"/>
+<param name="srMaxRoundness" value="0mil"/>
+<param name="slThermalIsolate" value="10mil"/>
+<param name="slThermalsForVias" value="0"/>
+<param name="dpMaxLengthDifference" value="10mm"/>
+<param name="dpGapFactor" value="2.5"/>
+<param name="checkGrid" value="0"/>
+<param name="checkAngle" value="0"/>
+<param name="checkFont" value="1"/>
+<param name="checkRestrict" value="1"/>
+<param name="useDiameter" value="13"/>
+<param name="maxErrors" value="999999"/>
+</designrules>
+<autorouter>
+<pass name="Default">
+<param name="RoutingGrid" value="50mil"/>
+<param name="tpViaShape" value="round"/>
+<param name="PrefDir.1" value="|"/>
+<param name="PrefDir.2" value="0"/>
+<param name="PrefDir.3" value="0"/>
+<param name="PrefDir.4" value="0"/>
+<param name="PrefDir.5" value="0"/>
+<param name="PrefDir.6" value="0"/>
+<param name="PrefDir.7" value="0"/>
+<param name="PrefDir.8" value="0"/>
+<param name="PrefDir.9" value="0"/>
+<param name="PrefDir.10" value="0"/>
+<param name="PrefDir.11" value="0"/>
+<param name="PrefDir.12" value="0"/>
+<param name="PrefDir.13" value="0"/>
+<param name="PrefDir.14" value="0"/>
+<param name="PrefDir.15" value="0"/>
+<param name="PrefDir.16" value="-"/>
+<param name="cfVia" value="8"/>
+<param name="cfNonPref" value="5"/>
+<param name="cfChangeDir" value="2"/>
+<param name="cfOrthStep" value="2"/>
+<param name="cfDiagStep" value="3"/>
+<param name="cfExtdStep" value="0"/>
+<param name="cfBonusStep" value="1"/>
+<param name="cfMalusStep" value="1"/>
+<param name="cfPadImpact" value="4"/>
+<param name="cfSmdImpact" value="4"/>
+<param name="cfBusImpact" value="0"/>
+<param name="cfHugging" value="3"/>
+<param name="cfAvoid" value="4"/>
+<param name="cfPolygon" value="10"/>
+<param name="cfBase.1" value="0"/>
+<param name="cfBase.2" value="1"/>
+<param name="cfBase.3" value="1"/>
+<param name="cfBase.4" value="1"/>
+<param name="cfBase.5" value="1"/>
+<param name="cfBase.6" value="1"/>
+<param name="cfBase.7" value="1"/>
+<param name="cfBase.8" value="1"/>
+<param name="cfBase.9" value="1"/>
+<param name="cfBase.10" value="1"/>
+<param name="cfBase.11" value="1"/>
+<param name="cfBase.12" value="1"/>
+<param name="cfBase.13" value="1"/>
+<param name="cfBase.14" value="1"/>
+<param name="cfBase.15" value="1"/>
+<param name="cfBase.16" value="0"/>
+<param name="mnVias" value="20"/>
+<param name="mnSegments" value="9999"/>
+<param name="mnExtdSteps" value="9999"/>
+<param name="mnRipupLevel" value="10"/>
+<param name="mnRipupSteps" value="100"/>
+<param name="mnRipupTotal" value="100"/>
+</pass>
+<pass name="Follow-me" refer="Default" active="yes">
+</pass>
+<pass name="Busses" refer="Default" active="yes">
+<param name="cfNonPref" value="4"/>
+<param name="cfBusImpact" value="4"/>
+<param name="cfHugging" value="0"/>
+<param name="mnVias" value="0"/>
+</pass>
+<pass name="Route" refer="Default" active="yes">
+</pass>
+<pass name="Optimize1" refer="Default" active="yes">
+<param name="cfVia" value="99"/>
+<param name="cfExtdStep" value="10"/>
+<param name="cfHugging" value="1"/>
+<param name="mnExtdSteps" value="1"/>
+<param name="mnRipupLevel" value="0"/>
+</pass>
+<pass name="Optimize2" refer="Optimize1" active="yes">
+<param name="cfNonPref" value="0"/>
+<param name="cfChangeDir" value="6"/>
+<param name="cfExtdStep" value="0"/>
+<param name="cfBonusStep" value="2"/>
+<param name="cfMalusStep" value="2"/>
+<param name="cfPadImpact" value="2"/>
+<param name="cfSmdImpact" value="2"/>
+<param name="cfHugging" value="0"/>
+</pass>
+<pass name="Optimize3" refer="Optimize2" active="yes">
+<param name="cfChangeDir" value="8"/>
+<param name="cfPadImpact" value="0"/>
+<param name="cfSmdImpact" value="0"/>
+</pass>
+<pass name="Optimize4" refer="Optimize3" active="yes">
+<param name="cfChangeDir" value="25"/>
+</pass>
+</autorouter>
+<elements>
+<element name="IC1" library="74xx-eu" package="SO16" value="74AC165D" x="49.53" y="45.085" rot="R270"/>
+<element name="IC2" library="74xx-eu" package="SO16" value="74HC595D" x="16.51" y="38.735" rot="R90"/>
+<element name="IC3" library="74xx-eu" package="SO16" value="74HC595D" x="81.915" y="20.32" rot="R270"/>
+<element name="IC4" library="74xx-eu" package="SO16" value="74HC595D" x="16.51" y="15.24" rot="R90"/>
+<element name="IC5" library="memory-idt" package="SO28-3" value="" x="49.53" y="22.86" rot="R90">
+<attribute name="OC_NEWARK" value="34M6449" x="49.53" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="MPN" value="IDT71256SA20YG" x="49.53" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="MF" value="" x="49.53" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="OC_FARNELL" value="1218010" x="49.53" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+</element>
+<element name="X1" library="con-molex" package="70543-14" value="C-GRID-14-70543" x="62.23" y="22.86" rot="R90">
+<attribute name="OC_NEWARK" value="unknown" x="62.23" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="MPN" value="" x="62.23" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="MF" value="" x="62.23" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="OC_FARNELL" value="unknown" x="62.23" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+</element>
+<element name="X2" library="con-molex" package="70543-14" value="C-GRID-14-70543" x="36.83" y="22.86" rot="R270">
+<attribute name="OC_NEWARK" value="unknown" x="36.83" y="22.86" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="MPN" value="" x="36.83" y="22.86" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="MF" value="" x="36.83" y="22.86" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="OC_FARNELL" value="unknown" x="36.83" y="22.86" size="1.778" layer="27" rot="R270" display="off"/>
+</element>
+<element name="C1" library="resistor" package="C1206" value="" x="16.51" y="7.62"/>
+<element name="C2" library="resistor" package="C1206" value="" x="16.51" y="31.115"/>
+<element name="C3" library="resistor" package="C1206" value="" x="49.53" y="52.07" rot="R180"/>
+<element name="C4" library="resistor" package="C1206" value="" x="81.915" y="12.7" rot="R180"/>
+<element name="C5" library="resistor" package="C1206" value="" x="49.53" y="12.065" rot="R180"/>
+<element name="SV1" library="con-lstb" package="MA06-1" value="" x="3.81" y="12.7" rot="R270">
+<attribute name="OC_NEWARK" value="unknown" x="3.81" y="12.7" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="MPN" value="" x="3.81" y="12.7" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="MF" value="" x="3.81" y="12.7" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="OC_FARNELL" value="unknown" x="3.81" y="12.7" size="1.778" layer="27" rot="R270" display="off"/>
+</element>
+</elements>
+<signals>
+<signal name="GND">
+<contactref element="IC1" pad="8"/>
+<contactref element="IC2" pad="8"/>
+<contactref element="IC3" pad="8"/>
+<contactref element="IC4" pad="8"/>
+<contactref element="IC5" pad="14"/>
+<contactref element="X1" pad="14"/>
+<wire x1="54.845" y1="31.115" x2="55.88" y2="31.115" width="0.4064" layer="1"/>
+<contactref element="C1" pad="2"/>
+<contactref element="C5" pad="2"/>
+<contactref element="C4" pad="2"/>
+<contactref element="C2" pad="2"/>
+<contactref element="C3" pad="2"/>
+<wire x1="17.91" y1="7.62" x2="17.91" y2="8.125" width="0.4064" layer="1"/>
+<wire x1="17.91" y1="8.125" x2="17.145" y2="8.89" width="0.4064" layer="1"/>
+<wire x1="17.145" y1="8.89" x2="17.145" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="19.5834" y1="43.18" x2="17.78" y2="43.18" width="0.4064" layer="1"/>
+<wire x1="17.78" y1="43.18" x2="17.145" y2="42.545" width="0.4064" layer="1"/>
+<wire x1="19.5834" y1="19.685" x2="17.145" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="17.91" y1="31.115" x2="17.145" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="17.145" y1="30.48" x2="17.145" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="17.145" y1="42.545" x2="17.145" y2="31.75" width="0.4064" layer="1"/>
+<wire x1="17.145" y1="31.75" x2="17.91" y2="31.115" width="0.4064" layer="1"/>
+<wire x1="56.515" y1="33.02" x2="49.53" y2="33.02" width="0.4064" layer="16"/>
+<via x="49.53" y="33.02" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="46.4566" y1="40.64" x2="48.895" y2="40.64" width="0.4064" layer="1"/>
+<wire x1="49.53" y1="33.02" x2="49.53" y2="40.005" width="0.4064" layer="1"/>
+<wire x1="49.53" y1="40.005" x2="48.895" y2="40.64" width="0.4064" layer="1"/>
+<wire x1="48.895" y1="40.64" x2="48.895" y2="51.305" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="15.24" x2="59.69" y2="15.24" width="0.4064" layer="16"/>
+<wire x1="59.69" y1="15.24" x2="57.15" y2="17.78" width="0.4064" layer="16"/>
+<wire x1="57.15" y1="17.78" x2="57.15" y2="32.385" width="0.4064" layer="16"/>
+<wire x1="57.15" y1="32.385" x2="56.515" y2="33.02" width="0.4064" layer="16"/>
+<via x="57.15" y="32.385" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="55.88" y1="31.115" x2="57.15" y2="32.385" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="39.37" x2="62.23" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="19.5834" y1="43.18" x2="21.59" y2="43.18" width="0.4064" layer="1"/>
+<via x="21.59" y="43.18" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="21.59" y1="43.18" x2="43.18" y2="43.18" width="0.4064" layer="16"/>
+<wire x1="43.18" y1="43.18" x2="43.815" y2="42.545" width="0.4064" layer="16"/>
+<wire x1="43.815" y1="42.545" x2="43.815" y2="40.64" width="0.4064" layer="16"/>
+<via x="43.815" y="40.64" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="43.815" y1="40.64" x2="46.4566" y2="40.64" width="0.4064" layer="1"/>
+<contactref element="SV1" pad="1"/>
+<wire x1="3.81" y1="19.05" x2="1.905" y2="19.05" width="0.4064" layer="16"/>
+<wire x1="1.905" y1="19.05" x2="1.27" y2="18.415" width="0.4064" layer="16"/>
+<wire x1="1.27" y1="18.415" x2="1.27" y2="5.08" width="0.4064" layer="16"/>
+<via x="20.32" y="7.62" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="20.32" y1="7.62" x2="17.91" y2="7.62" width="0.4064" layer="1"/>
+<wire x1="1.27" y1="5.08" x2="1.905" y2="4.445" width="0.4064" layer="16"/>
+<wire x1="1.905" y1="4.445" x2="19.685" y2="4.445" width="0.4064" layer="16"/>
+<wire x1="19.685" y1="4.445" x2="20.32" y2="5.08" width="0.4064" layer="16"/>
+<wire x1="20.32" y1="5.08" x2="20.32" y2="7.62" width="0.4064" layer="16"/>
+<contactref element="IC1" pad="15"/>
+<wire x1="49.53" y1="33.02" x2="49.53" y2="13.465" width="0.4064" layer="1"/>
+<wire x1="49.53" y1="13.465" x2="48.13" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="78.8416" y1="15.875" x2="80.645" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="80.645" y1="15.875" x2="81.28" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="81.28" y1="15.24" x2="81.28" y2="13.465" width="0.4064" layer="1"/>
+<wire x1="81.28" y1="13.465" x2="80.515" y2="12.7" width="0.4064" layer="1"/>
+<wire x1="78.8416" y1="15.875" x2="73.025" y2="15.875" width="0.4064" layer="1"/>
+<via x="73.025" y="15.875" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="73.025" y1="15.875" x2="64.77" y2="15.875" width="0.4064" layer="16"/>
+<wire x1="64.77" y1="15.875" x2="64.135" y2="15.24" width="0.4064" layer="16"/>
+<wire x1="48.895" y1="51.305" x2="48.13" y2="52.07" width="0.4064" layer="1"/>
+<wire x1="52.6034" y1="48.26" x2="53.975" y2="48.26" width="0.4064" layer="1"/>
+<wire x1="53.975" y1="48.26" x2="54.61" y2="48.895" width="0.4064" layer="1"/>
+<wire x1="54.61" y1="48.895" x2="54.61" y2="53.34" width="0.4064" layer="1"/>
+<wire x1="54.61" y1="53.34" x2="53.975" y2="53.975" width="0.4064" layer="1"/>
+<wire x1="53.975" y1="53.975" x2="49.53" y2="53.975" width="0.4064" layer="1"/>
+<wire x1="48.13" y1="52.07" x2="48.26" y2="52.07" width="0.4064" layer="1"/>
+<wire x1="48.26" y1="52.07" x2="48.895" y2="52.705" width="0.4064" layer="1"/>
+<wire x1="48.895" y1="52.705" x2="48.895" y2="53.34" width="0.4064" layer="1"/>
+<wire x1="48.895" y1="53.34" x2="49.53" y2="53.975" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="39.37" x2="57.15" y2="34.925" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="34.925" x2="57.15" y2="32.385" width="0.4064" layer="1"/>
+</signal>
+<signal name="VCC">
+<contactref element="IC1" pad="16"/>
+<contactref element="IC2" pad="16"/>
+<contactref element="IC3" pad="16"/>
+<contactref element="IC4" pad="16"/>
+<contactref element="IC5" pad="28"/>
+<wire x1="12.7" y1="10.795" x2="13.4366" y2="10.795" width="0.4064" layer="1"/>
+<contactref element="IC4" pad="10"/>
+<contactref element="IC3" pad="10"/>
+<contactref element="X2" pad="14"/>
+<contactref element="C1" pad="1"/>
+<contactref element="C5" pad="1"/>
+<contactref element="C4" pad="1"/>
+<contactref element="C3" pad="1"/>
+<contactref element="C2" pad="1"/>
+<wire x1="15.11" y1="7.62" x2="15.11" y2="8.125" width="0.4064" layer="1"/>
+<wire x1="15.11" y1="8.125" x2="15.875" y2="8.89" width="0.4064" layer="1"/>
+<wire x1="13.4366" y1="34.29" x2="15.24" y2="34.29" width="0.4064" layer="1"/>
+<wire x1="15.24" y1="34.29" x2="15.875" y2="33.655" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="33.655" x2="15.875" y2="31.75" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="31.75" x2="15.11" y2="31.115" width="0.4064" layer="1"/>
+<wire x1="15.11" y1="31.115" x2="15.875" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="30.48" x2="15.875" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="18.415" x2="15.875" y2="10.795" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="18.415" x2="13.4366" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="8.89" x2="15.875" y2="10.795" width="0.4064" layer="1"/>
+<wire x1="13.4366" y1="10.795" x2="15.875" y2="10.795" width="0.4064" layer="1"/>
+<wire x1="50.93" y1="50.035" x2="51.435" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="52.6034" y1="49.53" x2="51.435" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="51.435" y1="49.53" x2="50.8" y2="48.895" width="0.4064" layer="1"/>
+<wire x1="50.8" y1="48.895" x2="50.8" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="50.8" y1="12.065" x2="50.8" y2="9.525" width="0.4064" layer="1"/>
+<wire x1="82.55" y1="5.08" x2="38.1" y2="5.08" width="0.4064" layer="1"/>
+<wire x1="38.1" y1="5.08" x2="36.83" y2="6.35" width="0.4064" layer="1"/>
+<wire x1="83.185" y1="12.83" x2="83.185" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="83.185" y1="17.145" x2="84.9884" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="84.9884" y1="24.765" x2="83.82" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="83.82" y1="24.765" x2="83.185" y2="24.13" width="0.4064" layer="1"/>
+<wire x1="83.185" y1="24.13" x2="83.185" y2="17.145" width="0.4064" layer="1"/>
+<contactref element="SV1" pad="6"/>
+<wire x1="14.475" y1="6.985" x2="15.11" y2="7.62" width="0.4064" layer="1"/>
+<via x="44.45" y="8.89" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="44.45" y1="6.985" x2="44.45" y2="8.89" width="0.4064" layer="16"/>
+<wire x1="44.45" y1="8.89" x2="44.45" y2="14.37" width="0.4064" layer="1"/>
+<wire x1="44.45" y1="14.37" x2="44.215" y2="14.605" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="6.35" x2="19.685" y2="6.35" width="0.4064" layer="1"/>
+<wire x1="19.685" y1="6.35" x2="19.05" y2="5.715" width="0.4064" layer="1"/>
+<wire x1="19.05" y1="5.715" x2="15.875" y2="5.715" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="5.715" x2="15.24" y2="6.35" width="0.4064" layer="1"/>
+<wire x1="15.24" y1="6.35" x2="15.24" y2="7.49" width="0.4064" layer="1"/>
+<wire x1="15.24" y1="7.49" x2="15.11" y2="7.62" width="0.4064" layer="1"/>
+<wire x1="3.81" y1="6.35" x2="11.43" y2="6.35" width="0.4064" layer="16"/>
+<wire x1="11.43" y1="6.35" x2="12.7" y2="7.62" width="0.4064" layer="16"/>
+<via x="12.7" y="7.62" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="12.7" y1="7.62" x2="15.11" y2="7.62" width="0.4064" layer="1"/>
+<wire x1="44.45" y1="8.89" x2="50.165" y2="8.89" width="0.4064" layer="1"/>
+<wire x1="50.165" y1="8.89" x2="50.8" y2="9.525" width="0.4064" layer="1"/>
+<wire x1="50.93" y1="12.065" x2="50.8" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="83.185" y1="12.83" x2="83.315" y2="12.7" width="0.4064" layer="1"/>
+<wire x1="82.55" y1="5.08" x2="83.185" y2="5.715" width="0.4064" layer="1"/>
+<wire x1="83.185" y1="5.715" x2="83.185" y2="12.57" width="0.4064" layer="1"/>
+<wire x1="83.185" y1="12.57" x2="83.315" y2="12.7" width="0.4064" layer="1"/>
+<wire x1="50.93" y1="50.035" x2="50.93" y2="52.07" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="6.35" x2="43.815" y2="6.35" width="0.4064" layer="16"/>
+<wire x1="43.815" y1="6.35" x2="44.45" y2="6.985" width="0.4064" layer="16"/>
+</signal>
+<signal name="A1">
+<contactref element="IC3" pad="1"/>
+<contactref element="IC5" pad="9"/>
+<contactref element="X1" pad="9"/>
+<wire x1="54.845" y1="24.765" x2="58.42" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="60.325" y1="26.67" x2="62.23" y2="26.67" width="0.4064" layer="1"/>
+<wire x1="78.8416" y1="24.765" x2="66.675" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="66.675" y1="24.765" x2="64.77" y2="26.67" width="0.4064" layer="1"/>
+<wire x1="64.77" y1="26.67" x2="62.23" y2="26.67" width="0.4064" layer="1"/>
+<wire x1="58.42" y1="24.765" x2="60.325" y2="26.67" width="0.4064" layer="1"/>
+</signal>
+<signal name="A0">
+<contactref element="IC3" pad="15"/>
+<contactref element="IC5" pad="10"/>
+<contactref element="X1" pad="10"/>
+<wire x1="58.42" y1="26.035" x2="54.845" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="84.9884" y1="23.495" x2="86.36" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="86.36" y1="23.495" x2="86.995" y2="24.13" width="0.4064" layer="1"/>
+<wire x1="86.995" y1="24.13" x2="86.995" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="86.995" y1="28.575" x2="86.36" y2="29.21" width="0.4064" layer="1"/>
+<wire x1="86.36" y1="29.21" x2="62.23" y2="29.21" width="0.4064" layer="1"/>
+<wire x1="58.42" y1="26.035" x2="61.595" y2="29.21" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="29.21" x2="62.23" y2="29.21" width="0.4064" layer="1"/>
+</signal>
+<signal name="A3">
+<contactref element="IC3" pad="3"/>
+<contactref element="IC5" pad="7"/>
+<contactref element="X1" pad="7"/>
+<wire x1="54.845" y1="22.225" x2="60.325" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="60.325" y1="22.225" x2="60.96" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="60.96" y1="21.59" x2="62.23" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="78.8416" y1="22.225" x2="64.77" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="64.77" y1="22.225" x2="64.135" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="21.59" x2="62.23" y2="21.59" width="0.4064" layer="1"/>
+</signal>
+<signal name="A2">
+<contactref element="IC3" pad="2"/>
+<contactref element="IC5" pad="8"/>
+<contactref element="X1" pad="8"/>
+<wire x1="78.8416" y1="23.495" x2="64.77" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="64.77" y1="23.495" x2="64.135" y2="24.13" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="24.13" x2="62.23" y2="24.13" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="24.13" x2="60.96" y2="24.13" width="0.4064" layer="1"/>
+<wire x1="60.96" y1="24.13" x2="60.325" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="60.325" y1="23.495" x2="54.845" y2="23.495" width="0.4064" layer="1"/>
+</signal>
+<signal name="A4">
+<contactref element="IC3" pad="4"/>
+<contactref element="IC5" pad="6"/>
+<contactref element="X1" pad="6"/>
+<wire x1="54.845" y1="20.955" x2="57.785" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="20.955" x2="59.69" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="59.69" y1="19.05" x2="62.23" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="78.8416" y1="20.955" x2="66.04" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="66.04" y1="20.955" x2="64.135" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="19.05" x2="62.23" y2="19.05" width="0.4064" layer="1"/>
+</signal>
+<signal name="A5">
+<contactref element="IC3" pad="5"/>
+<contactref element="IC5" pad="5"/>
+<contactref element="X1" pad="5"/>
+<wire x1="54.845" y1="19.685" x2="57.785" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="19.685" x2="60.96" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="60.96" y1="16.51" x2="62.23" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="78.8416" y1="19.685" x2="67.31" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="67.31" y1="19.685" x2="64.135" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="16.51" x2="62.23" y2="16.51" width="0.4064" layer="1"/>
+</signal>
+<signal name="A6">
+<contactref element="IC3" pad="6"/>
+<contactref element="IC5" pad="4"/>
+<contactref element="X1" pad="4"/>
+<wire x1="54.845" y1="18.415" x2="57.785" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="18.415" x2="59.69" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="59.69" y1="16.51" x2="59.69" y2="14.605" width="0.4064" layer="1"/>
+<wire x1="59.69" y1="14.605" x2="60.325" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="60.325" y1="13.97" x2="62.23" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="78.8416" y1="18.415" x2="68.58" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="68.58" y1="18.415" x2="64.135" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="13.97" x2="62.23" y2="13.97" width="0.4064" layer="1"/>
+</signal>
+<signal name="A7">
+<contactref element="IC3" pad="7"/>
+<contactref element="IC5" pad="3"/>
+<contactref element="X1" pad="3"/>
+<wire x1="54.845" y1="17.145" x2="57.785" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="17.145" x2="59.055" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="59.055" y1="15.875" x2="59.055" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="59.055" y1="12.065" x2="59.69" y2="11.43" width="0.4064" layer="1"/>
+<wire x1="59.69" y1="11.43" x2="62.23" y2="11.43" width="0.4064" layer="1"/>
+<wire x1="78.8416" y1="17.145" x2="69.85" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="69.85" y1="17.145" x2="64.135" y2="11.43" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="11.43" x2="62.23" y2="11.43" width="0.4064" layer="1"/>
+</signal>
+<signal name="A8">
+<contactref element="IC4" pad="15"/>
+<contactref element="IC5" pad="25"/>
+<contactref element="X2" pad="11"/>
+<wire x1="36.83" y1="13.97" x2="40.64" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="40.64" y1="13.97" x2="41.275" y2="14.605" width="0.4064" layer="1"/>
+<wire x1="41.275" y1="14.605" x2="41.275" y2="17.78" width="0.4064" layer="1"/>
+<wire x1="41.275" y1="17.78" x2="41.91" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="18.415" x2="44.215" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="13.97" x2="31.115" y2="13.97" width="0.4064" layer="16"/>
+<wire x1="31.115" y1="13.97" x2="30.48" y2="13.335" width="0.4064" layer="16"/>
+<wire x1="30.48" y1="13.335" x2="30.48" y2="10.16" width="0.4064" layer="16"/>
+<wire x1="13.4366" y1="12.065" x2="12.065" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="12.065" y1="12.065" x2="11.43" y2="11.43" width="0.4064" layer="1"/>
+<wire x1="11.43" y1="11.43" x2="11.43" y2="9.525" width="0.4064" layer="1"/>
+<via x="11.43" y="9.525" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="11.43" y1="9.525" x2="20.32" y2="9.525" width="0.4064" layer="16"/>
+<via x="20.32" y="9.525" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="20.32" y1="9.525" x2="24.13" y2="9.525" width="0.4064" layer="1"/>
+<via x="24.13" y="9.525" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="24.13" y1="9.525" x2="29.845" y2="9.525" width="0.4064" layer="16"/>
+<wire x1="29.845" y1="9.525" x2="30.48" y2="10.16" width="0.4064" layer="16"/>
+</signal>
+<signal name="A9">
+<contactref element="IC4" pad="1"/>
+<contactref element="IC5" pad="24"/>
+<wire x1="19.5834" y1="10.795" x2="25.4" y2="10.795" width="0.4064" layer="1"/>
+<via x="25.4" y="10.795" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="25.4" y1="10.795" x2="25.4" y2="17.145" width="0.4064" layer="16"/>
+<via x="25.4" y="17.145" extent="1-16" drill="0.6" shape="square"/>
+<contactref element="X2" pad="10"/>
+<wire x1="36.83" y1="16.51" x2="39.37" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="39.37" y1="16.51" x2="40.005" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="40.005" y1="17.145" x2="40.005" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="40.005" y1="19.05" x2="40.64" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="40.64" y1="19.685" x2="44.215" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="16.51" x2="33.02" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="33.02" y1="16.51" x2="32.385" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="32.385" y1="17.145" x2="25.4" y2="17.145" width="0.4064" layer="1"/>
+</signal>
+<signal name="A10">
+<contactref element="IC4" pad="2"/>
+<contactref element="IC5" pad="21"/>
+<wire x1="19.5834" y1="12.065" x2="26.67" y2="12.065" width="0.4064" layer="1"/>
+<via x="26.67" y="12.065" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="26.67" y1="12.065" x2="26.67" y2="24.13" width="0.4064" layer="16"/>
+<via x="26.67" y="24.13" extent="1-16" drill="0.6" shape="square"/>
+<contactref element="X2" pad="7"/>
+<wire x1="26.67" y1="24.13" x2="36.83" y2="24.13" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="24.13" x2="38.1" y2="24.13" width="0.4064" layer="1"/>
+<wire x1="38.1" y1="24.13" x2="38.735" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="38.735" y1="23.495" x2="44.215" y2="23.495" width="0.4064" layer="1"/>
+</signal>
+<signal name="A11">
+<contactref element="IC4" pad="3"/>
+<contactref element="IC5" pad="23"/>
+<wire x1="19.5834" y1="13.335" x2="27.94" y2="13.335" width="0.4064" layer="1"/>
+<via x="27.94" y="13.335" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="27.94" y1="13.335" x2="27.94" y2="19.05" width="0.4064" layer="16"/>
+<via x="27.94" y="19.05" extent="1-16" drill="0.6" shape="square"/>
+<contactref element="X2" pad="9"/>
+<wire x1="44.215" y1="20.955" x2="40.64" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="40.64" y1="20.955" x2="38.735" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="38.735" y1="19.05" x2="36.83" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="27.94" y1="19.05" x2="36.83" y2="19.05" width="0.4064" layer="1"/>
+</signal>
+<signal name="A12">
+<contactref element="IC4" pad="4"/>
+<contactref element="IC5" pad="2"/>
+<wire x1="19.5834" y1="14.605" x2="29.21" y2="14.605" width="0.4064" layer="1"/>
+<contactref element="X1" pad="2"/>
+<wire x1="57.785" y1="15.875" x2="57.15" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="15.875" x2="54.845" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="8.89" x2="59.055" y2="8.89" width="0.4064" layer="1"/>
+<wire x1="59.055" y1="8.89" x2="58.42" y2="9.525" width="0.4064" layer="1"/>
+<wire x1="58.42" y1="9.525" x2="58.42" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="58.42" y1="15.24" x2="57.785" y2="15.875" width="0.4064" layer="1"/>
+<via x="29.21" y="14.605" extent="1-16" drill="0.6" shape="square"/>
+<via x="57.15" y="15.875" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="29.21" y1="14.605" x2="29.845" y2="15.24" width="0.4064" layer="16"/>
+<wire x1="29.845" y1="15.24" x2="56.515" y2="15.24" width="0.4064" layer="16"/>
+<wire x1="56.515" y1="15.24" x2="57.15" y2="15.875" width="0.4064" layer="16"/>
+</signal>
+<signal name="A13">
+<contactref element="IC4" pad="5"/>
+<contactref element="IC5" pad="26"/>
+<contactref element="X2" pad="12"/>
+<wire x1="36.83" y1="11.43" x2="41.275" y2="11.43" width="0.4064" layer="1"/>
+<wire x1="41.275" y1="11.43" x2="41.91" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="12.065" x2="41.91" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="16.51" x2="42.545" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="42.545" y1="17.145" x2="44.215" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="11.43" x2="31.115" y2="11.43" width="0.4064" layer="1"/>
+<wire x1="31.115" y1="11.43" x2="30.48" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="30.48" y1="12.065" x2="30.48" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="30.48" y1="15.24" x2="29.845" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="29.845" y1="15.875" x2="19.5834" y2="15.875" width="0.4064" layer="1"/>
+</signal>
+<signal name="A14">
+<contactref element="IC4" pad="6"/>
+<contactref element="IC5" pad="1"/>
+<wire x1="19.5834" y1="17.145" x2="22.225" y2="17.145" width="0.4064" layer="1"/>
+<via x="22.225" y="17.145" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="22.225" y1="17.145" x2="22.225" y2="7.62" width="0.4064" layer="16"/>
+<via x="22.225" y="7.62" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="22.225" y1="7.62" x2="57.15" y2="7.62" width="0.4064" layer="1"/>
+<contactref element="X1" pad="1"/>
+<wire x1="54.845" y1="14.605" x2="56.515" y2="14.605" width="0.4064" layer="1"/>
+<wire x1="56.515" y1="14.605" x2="57.15" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="13.97" x2="57.15" y2="7.62" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="7.62" x2="57.15" y2="6.985" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="6.985" x2="57.785" y2="6.35" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="6.35" x2="62.23" y2="6.35" width="0.4064" layer="1"/>
+</signal>
+<signal name="N$1">
+<contactref element="IC3" pad="9"/>
+<contactref element="IC4" pad="14"/>
+<wire x1="13.4366" y1="13.335" x2="10.795" y2="13.335" width="0.4064" layer="1"/>
+<wire x1="10.795" y1="13.335" x2="10.16" y2="12.7" width="0.4064" layer="1"/>
+<wire x1="10.16" y1="4.445" x2="10.795" y2="3.81" width="0.4064" layer="1"/>
+<wire x1="10.795" y1="3.81" x2="86.995" y2="3.81" width="0.4064" layer="1"/>
+<wire x1="84.9884" y1="15.875" x2="86.995" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="86.995" y1="15.875" x2="87.63" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="87.63" y1="15.24" x2="87.63" y2="4.445" width="0.4064" layer="1"/>
+<wire x1="87.63" y1="4.445" x2="86.995" y2="3.81" width="0.4064" layer="1"/>
+<wire x1="10.16" y1="4.445" x2="10.16" y2="12.7" width="0.4064" layer="1"/>
+</signal>
+<signal name="A_EN">
+<contactref element="IC3" pad="13"/>
+<contactref element="IC4" pad="13"/>
+<contactref element="SV1" pad="2"/>
+<wire x1="3.81" y1="16.51" x2="6.985" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="6.985" y1="16.51" x2="8.89" y2="14.605" width="0.4064" layer="1"/>
+<wire x1="8.89" y1="14.605" x2="9.525" y2="14.605" width="0.4064" layer="1"/>
+<wire x1="9.525" y1="14.605" x2="13.4366" y2="14.605" width="0.4064" layer="1"/>
+<wire x1="84.9884" y1="20.955" x2="90.17" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="90.17" y1="20.955" x2="90.17" y2="3.175" width="0.4064" layer="1"/>
+<wire x1="90.17" y1="3.175" x2="89.535" y2="2.54" width="0.4064" layer="1"/>
+<wire x1="89.535" y1="2.54" x2="9.525" y2="2.54" width="0.4064" layer="1"/>
+<wire x1="9.525" y1="14.605" x2="9.525" y2="2.54" width="0.4064" layer="1"/>
+</signal>
+<signal name="D0">
+<contactref element="IC1" pad="11"/>
+<contactref element="IC2" pad="15"/>
+<contactref element="IC5" pad="11"/>
+<contactref element="X1" pad="11"/>
+<wire x1="54.845" y1="27.305" x2="57.785" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="55.245" y1="43.18" x2="55.88" y2="43.18" width="0.4064" layer="1"/>
+<wire x1="55.88" y1="43.18" x2="56.515" y2="42.545" width="0.4064" layer="1"/>
+<wire x1="56.515" y1="42.545" x2="56.515" y2="40.005" width="0.4064" layer="1"/>
+<wire x1="56.515" y1="40.005" x2="55.88" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="55.88" y1="39.37" x2="52.07" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="52.07" y1="39.37" x2="51.435" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="51.435" y1="38.735" x2="51.435" y2="27.94" width="0.4064" layer="1"/>
+<wire x1="51.435" y1="27.94" x2="52.07" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="52.07" y1="27.305" x2="54.845" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="27.305" x2="62.23" y2="31.75" width="0.4064" layer="1"/>
+<via x="55.245" y="43.18" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="55.245" y1="43.18" x2="52.705" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="13.4366" y1="35.56" x2="15.24" y2="35.56" width="0.4064" layer="1"/>
+<wire x1="15.24" y1="35.56" x2="15.875" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="36.195" x2="15.875" y2="45.72" width="0.4064" layer="1"/>
+<via x="15.875" y="45.72" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="15.875" y1="45.72" x2="52.705" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="52.6034" y1="43.18" x2="55.245" y2="43.18" width="0.4064" layer="1"/>
+</signal>
+<signal name="D1">
+<contactref element="IC1" pad="12"/>
+<contactref element="IC2" pad="1"/>
+<contactref element="IC5" pad="12"/>
+<contactref element="X1" pad="12"/>
+<wire x1="54.845" y1="28.575" x2="57.15" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="52.6034" y1="44.45" x2="56.515" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="56.515" y1="44.45" x2="57.15" y2="43.815" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="43.815" x2="57.15" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="39.37" x2="56.515" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="56.515" y1="38.735" x2="52.705" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="52.705" y1="38.735" x2="52.07" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="52.07" y1="38.1" x2="52.07" y2="29.21" width="0.4064" layer="1"/>
+<wire x1="52.07" y1="29.21" x2="52.705" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="52.705" y1="28.575" x2="54.845" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="19.5834" y1="34.29" x2="26.67" y2="34.29" width="0.4064" layer="1"/>
+<via x="26.67" y="34.29" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="62.23" y1="34.29" x2="61.595" y2="34.29" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="34.29" x2="59.69" y2="32.385" width="0.4064" layer="1"/>
+<wire x1="59.69" y1="32.385" x2="59.69" y2="31.115" width="0.4064" layer="1"/>
+<wire x1="59.69" y1="31.115" x2="57.15" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="26.67" y1="34.29" x2="33.655" y2="34.29" width="0.4064" layer="16"/>
+<wire x1="33.655" y1="34.29" x2="34.925" y2="33.02" width="0.4064" layer="16"/>
+<wire x1="34.925" y1="33.02" x2="38.735" y2="33.02" width="0.4064" layer="16"/>
+<wire x1="38.735" y1="33.02" x2="40.005" y2="34.29" width="0.4064" layer="16"/>
+<wire x1="40.005" y1="34.29" x2="62.23" y2="34.29" width="0.4064" layer="16"/>
+</signal>
+<signal name="D2">
+<contactref element="IC1" pad="13"/>
+<contactref element="IC2" pad="2"/>
+<contactref element="IC5" pad="13"/>
+<contactref element="X1" pad="13"/>
+<wire x1="54.845" y1="29.845" x2="56.515" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="52.6034" y1="45.72" x2="57.15" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="45.72" x2="57.785" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="45.085" x2="57.785" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="38.735" x2="57.15" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="38.1" x2="53.34" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="53.34" y1="38.1" x2="52.705" y2="37.465" width="0.4064" layer="1"/>
+<wire x1="52.705" y1="37.465" x2="52.705" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="52.705" y1="30.48" x2="53.34" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="53.34" y1="29.845" x2="54.845" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="19.5834" y1="35.56" x2="26.67" y2="35.56" width="0.4064" layer="1"/>
+<via x="26.67" y="35.56" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="26.67" y1="35.56" x2="59.055" y2="35.56" width="0.4064" layer="16"/>
+<wire x1="59.055" y1="35.56" x2="60.325" y2="36.83" width="0.4064" layer="16"/>
+<wire x1="60.325" y1="36.83" x2="62.23" y2="36.83" width="0.4064" layer="16"/>
+<wire x1="56.515" y1="29.845" x2="58.42" y2="31.75" width="0.4064" layer="1"/>
+<wire x1="58.42" y1="31.75" x2="58.42" y2="33.655" width="0.4064" layer="1"/>
+<wire x1="58.42" y1="33.655" x2="61.595" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="36.83" x2="62.23" y2="36.83" width="0.4064" layer="1"/>
+</signal>
+<signal name="D3">
+<contactref element="IC1" pad="14"/>
+<contactref element="IC2" pad="3"/>
+<contactref element="IC5" pad="15"/>
+<wire x1="19.5834" y1="36.83" x2="33.655" y2="36.83" width="0.4064" layer="1"/>
+<contactref element="X2" pad="1"/>
+<wire x1="52.6034" y1="46.99" x2="55.245" y2="46.99" width="0.4064" layer="1"/>
+<via x="55.245" y="46.99" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="36.83" y1="39.37" x2="57.15" y2="39.37" width="0.4064" layer="16"/>
+<wire x1="55.245" y1="46.99" x2="57.15" y2="46.99" width="0.4064" layer="16"/>
+<wire x1="57.15" y1="46.99" x2="57.785" y2="46.355" width="0.4064" layer="16"/>
+<wire x1="57.785" y1="46.355" x2="57.785" y2="40.005" width="0.4064" layer="16"/>
+<wire x1="57.785" y1="40.005" x2="57.15" y2="39.37" width="0.4064" layer="16"/>
+<wire x1="39.37" y1="37.465" x2="44.215" y2="32.62" width="0.4064" layer="1"/>
+<wire x1="44.215" y1="31.115" x2="44.215" y2="32.62" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="39.37" x2="38.735" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="38.735" y1="39.37" x2="39.37" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="39.37" y1="38.735" x2="39.37" y2="37.465" width="0.4064" layer="1"/>
+<wire x1="33.655" y1="36.83" x2="34.29" y2="37.465" width="0.4064" layer="1"/>
+<wire x1="34.29" y1="37.465" x2="34.29" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="34.29" y1="38.735" x2="34.925" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="34.925" y1="39.37" x2="36.83" y2="39.37" width="0.4064" layer="1"/>
+</signal>
+<signal name="D4">
+<contactref element="IC1" pad="3"/>
+<contactref element="IC2" pad="4"/>
+<wire x1="19.5834" y1="38.1" x2="26.67" y2="38.1" width="0.4064" layer="1"/>
+<via x="26.67" y="38.1" extent="1-16" drill="0.6" shape="square"/>
+<contactref element="IC5" pad="16"/>
+<contactref element="X2" pad="2"/>
+<wire x1="46.4566" y1="46.99" x2="41.275" y2="46.99" width="0.4064" layer="1"/>
+<wire x1="41.275" y1="46.99" x2="40.64" y2="46.355" width="0.4064" layer="1"/>
+<wire x1="40.64" y1="46.355" x2="40.64" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="40.64" y1="38.1" x2="41.275" y2="37.465" width="0.4064" layer="1"/>
+<wire x1="41.275" y1="37.465" x2="45.72" y2="37.465" width="0.4064" layer="1"/>
+<wire x1="45.72" y1="37.465" x2="46.355" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="36.83" x2="46.355" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="30.48" x2="45.72" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="45.72" y1="29.845" x2="44.215" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="36.83" x2="37.465" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="37.465" y1="36.83" x2="41.275" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="41.275" y1="33.02" x2="41.275" y2="31.75" width="0.4064" layer="1"/>
+<wire x1="41.275" y1="31.75" x2="43.18" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="43.18" y1="29.845" x2="44.215" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="26.67" y1="38.1" x2="33.655" y2="38.1" width="0.4064" layer="16"/>
+<wire x1="33.655" y1="38.1" x2="34.925" y2="36.83" width="0.4064" layer="16"/>
+<wire x1="34.925" y1="36.83" x2="36.83" y2="36.83" width="0.4064" layer="16"/>
+</signal>
+<signal name="D5">
+<contactref element="IC1" pad="4"/>
+<contactref element="IC2" pad="5"/>
+<contactref element="IC5" pad="17"/>
+<wire x1="19.5834" y1="39.37" x2="25.4" y2="39.37" width="0.4064" layer="1"/>
+<via x="25.4" y="39.37" extent="1-16" drill="0.6" shape="square"/>
+<contactref element="X2" pad="3"/>
+<wire x1="36.83" y1="33.02" x2="36.83" y2="34.29" width="0.4064" layer="1"/>
+<wire x1="25.4" y1="39.37" x2="25.4" y2="33.02" width="0.4064" layer="16"/>
+<via x="25.4" y="33.02" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="46.4566" y1="45.72" x2="41.91" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="45.72" x2="41.275" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="41.275" y1="45.085" x2="41.275" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="41.275" y1="38.735" x2="41.91" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="38.1" x2="46.355" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="38.1" x2="46.99" y2="37.465" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="37.465" x2="46.99" y2="29.21" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="29.21" x2="46.355" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="28.575" x2="44.215" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="25.4" y1="33.02" x2="36.83" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="44.215" y1="28.575" x2="43.18" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="34.29" x2="38.735" y2="34.29" width="0.4064" layer="1"/>
+<wire x1="38.735" y1="34.29" x2="40.005" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="40.005" y1="33.02" x2="40.005" y2="31.75" width="0.4064" layer="1"/>
+<wire x1="40.005" y1="31.75" x2="43.18" y2="28.575" width="0.4064" layer="1"/>
+</signal>
+<signal name="D6">
+<contactref element="IC1" pad="5"/>
+<contactref element="IC2" pad="6"/>
+<contactref element="IC5" pad="18"/>
+<wire x1="19.5834" y1="40.64" x2="24.13" y2="40.64" width="0.4064" layer="1"/>
+<via x="24.13" y="40.64" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="24.13" y1="40.64" x2="24.13" y2="33.02" width="0.4064" layer="16"/>
+<via x="24.13" y="33.02" extent="1-16" drill="0.6" shape="square"/>
+<contactref element="X2" pad="4"/>
+<wire x1="44.215" y1="27.305" x2="46.99" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="27.305" x2="47.625" y2="27.94" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="27.94" x2="47.625" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="38.1" x2="46.99" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="38.735" x2="42.545" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="42.545" y1="38.735" x2="41.91" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="39.37" x2="41.91" y2="43.815" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="43.815" x2="42.545" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="42.545" y1="44.45" x2="46.4566" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="24.13" y1="33.02" x2="24.13" y2="32.385" width="0.4064" layer="1"/>
+<wire x1="24.13" y1="32.385" x2="24.765" y2="31.75" width="0.4064" layer="1"/>
+<wire x1="24.765" y1="31.75" x2="36.83" y2="31.75" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="31.75" x2="38.735" y2="31.75" width="0.4064" layer="1"/>
+<wire x1="38.735" y1="31.75" x2="43.18" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="43.18" y1="27.305" x2="44.215" y2="27.305" width="0.4064" layer="1"/>
+</signal>
+<signal name="D7">
+<contactref element="IC1" pad="6"/>
+<contactref element="IC2" pad="7"/>
+<contactref element="IC5" pad="19"/>
+<wire x1="19.5834" y1="41.91" x2="22.86" y2="41.91" width="0.4064" layer="1"/>
+<via x="22.86" y="41.91" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="22.86" y1="41.91" x2="22.86" y2="33.02" width="0.4064" layer="16"/>
+<via x="22.86" y="33.02" extent="1-16" drill="0.6" shape="square"/>
+<contactref element="X2" pad="5"/>
+<wire x1="46.4566" y1="43.18" x2="43.18" y2="43.18" width="0.4064" layer="1"/>
+<wire x1="43.18" y1="43.18" x2="42.545" y2="42.545" width="0.4064" layer="1"/>
+<wire x1="42.545" y1="42.545" x2="42.545" y2="40.005" width="0.4064" layer="1"/>
+<wire x1="42.545" y1="40.005" x2="43.18" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="43.18" y1="39.37" x2="47.625" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="39.37" x2="48.26" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="48.26" y1="38.735" x2="48.26" y2="26.67" width="0.4064" layer="1"/>
+<wire x1="48.26" y1="26.67" x2="47.625" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="26.035" x2="44.215" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="29.21" x2="23.495" y2="29.21" width="0.4064" layer="1"/>
+<wire x1="23.495" y1="29.21" x2="22.86" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="22.86" y1="29.845" x2="22.86" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="26.035" x2="44.215" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="29.21" x2="38.735" y2="29.21" width="0.4064" layer="1"/>
+<wire x1="38.735" y1="29.21" x2="41.91" y2="26.035" width="0.4064" layer="1"/>
+</signal>
+<signal name="N$2">
+<contactref element="IC4" pad="9"/>
+<contactref element="IC2" pad="14"/>
+<wire x1="13.4366" y1="36.83" x2="11.43" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="11.43" y1="19.685" x2="13.4366" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="11.43" y1="36.83" x2="10.795" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="10.795" y1="36.195" x2="10.795" y2="20.32" width="0.4064" layer="1"/>
+<wire x1="10.795" y1="20.32" x2="11.43" y2="19.685" width="0.4064" layer="1"/>
+</signal>
+<signal name="N$3">
+<contactref element="IC2" pad="9"/>
+<contactref element="IC1" pad="10"/>
+<wire x1="52.6034" y1="41.91" x2="55.245" y2="41.91" width="0.4064" layer="1"/>
+<via x="55.245" y="41.91" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="12.065" y1="44.45" x2="11.43" y2="43.815" width="0.4064" layer="16"/>
+<wire x1="11.43" y1="43.815" x2="11.43" y2="43.18" width="0.4064" layer="16"/>
+<via x="11.43" y="43.18" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="11.43" y1="43.18" x2="13.4366" y2="43.18" width="0.4064" layer="1"/>
+<wire x1="55.245" y1="41.91" x2="50.8" y2="41.91" width="0.4064" layer="16"/>
+<wire x1="50.8" y1="41.91" x2="50.165" y2="42.545" width="0.4064" layer="16"/>
+<wire x1="50.165" y1="42.545" x2="50.165" y2="43.18" width="0.4064" layer="16"/>
+<wire x1="50.165" y1="43.18" x2="48.895" y2="44.45" width="0.4064" layer="16"/>
+<wire x1="48.895" y1="44.45" x2="12.065" y2="44.45" width="0.4064" layer="16"/>
+</signal>
+<signal name="D_IN">
+<contactref element="IC3" pad="14"/>
+<contactref element="SV1" pad="3"/>
+<wire x1="3.81" y1="13.97" x2="1.905" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="1.905" y1="13.97" x2="1.27" y2="13.335" width="0.4064" layer="1"/>
+<wire x1="1.27" y1="13.335" x2="1.27" y2="2.54" width="0.4064" layer="1"/>
+<wire x1="1.27" y1="2.54" x2="2.54" y2="1.27" width="0.4064" layer="1"/>
+<wire x1="2.54" y1="1.27" x2="90.805" y2="1.27" width="0.4064" layer="1"/>
+<wire x1="90.805" y1="1.27" x2="91.44" y2="1.905" width="0.4064" layer="1"/>
+<wire x1="91.44" y1="1.905" x2="91.44" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="91.44" y1="21.59" x2="90.805" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="84.9884" y1="22.225" x2="90.805" y2="22.225" width="0.4064" layer="1"/>
+</signal>
+<signal name="D_CLK">
+<contactref element="IC3" pad="11"/>
+<contactref element="IC4" pad="11"/>
+<contactref element="IC2" pad="11"/>
+<contactref element="IC1" pad="2"/>
+<wire x1="13.4366" y1="17.145" x2="11.43" y2="17.145" width="0.4064" layer="1"/>
+<via x="11.43" y="17.145" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="11.43" y1="17.145" x2="8.255" y2="17.145" width="0.4064" layer="16"/>
+<wire x1="8.255" y1="17.145" x2="8.255" y2="40.005" width="0.4064" layer="16"/>
+<wire x1="8.255" y1="40.005" x2="8.89" y2="40.64" width="0.4064" layer="16"/>
+<wire x1="8.89" y1="40.64" x2="11.43" y2="40.64" width="0.4064" layer="16"/>
+<via x="11.43" y="40.64" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="11.43" y1="40.64" x2="13.4366" y2="40.64" width="0.4064" layer="1"/>
+<wire x1="10.16" y1="41.275" x2="10.16" y2="47.625" width="0.4064" layer="1"/>
+<wire x1="10.16" y1="47.625" x2="10.795" y2="48.26" width="0.4064" layer="1"/>
+<wire x1="10.795" y1="48.26" x2="43.815" y2="48.26" width="0.4064" layer="1"/>
+<wire x1="43.815" y1="48.26" x2="46.4566" y2="48.26" width="0.4064" layer="1"/>
+<wire x1="11.43" y1="40.64" x2="10.795" y2="40.64" width="0.4064" layer="1"/>
+<wire x1="10.795" y1="40.64" x2="10.16" y2="41.275" width="0.4064" layer="1"/>
+<contactref element="SV1" pad="4"/>
+<wire x1="84.9884" y1="18.415" x2="87.63" y2="18.415" width="0.4064" layer="1"/>
+<via x="87.63" y="18.415" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="87.63" y1="18.415" x2="67.31" y2="18.415" width="0.4064" layer="16"/>
+<wire x1="67.31" y1="18.415" x2="66.675" y2="19.05" width="0.4064" layer="16"/>
+<wire x1="66.675" y1="19.05" x2="66.675" y2="48.895" width="0.4064" layer="16"/>
+<via x="43.815" y="48.26" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="43.815" y1="48.26" x2="43.815" y2="48.895" width="0.4064" layer="16"/>
+<wire x1="43.815" y1="48.895" x2="44.45" y2="49.53" width="0.4064" layer="16"/>
+<wire x1="44.45" y1="49.53" x2="66.04" y2="49.53" width="0.4064" layer="16"/>
+<wire x1="66.04" y1="49.53" x2="66.675" y2="48.895" width="0.4064" layer="16"/>
+<wire x1="3.81" y1="11.43" x2="8.255" y2="11.43" width="0.4064" layer="1"/>
+<via x="8.255" y="11.43" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="8.255" y1="11.43" x2="8.255" y2="17.145" width="0.4064" layer="16"/>
+</signal>
+<signal name="N$5">
+<contactref element="IC2" pad="12"/>
+<contactref element="IC4" pad="12"/>
+<contactref element="IC3" pad="12"/>
+<contactref element="IC1" pad="1"/>
+<wire x1="13.4366" y1="15.875" x2="10.16" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="10.16" y1="15.875" x2="9.525" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="9.525" y1="16.51" x2="9.525" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="9.525" y1="39.37" x2="9.525" y2="48.895" width="0.4064" layer="1"/>
+<wire x1="9.525" y1="39.37" x2="13.4366" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="46.4566" y1="49.53" x2="45.085" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="45.085" y1="49.53" x2="10.16" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="10.16" y1="49.53" x2="9.525" y2="48.895" width="0.4064" layer="1"/>
+<wire x1="84.9884" y1="19.685" x2="88.9" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="88.9" y1="29.21" x2="88.265" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="88.265" y1="29.845" x2="66.675" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="66.675" y1="29.845" x2="66.04" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="66.04" y1="30.48" x2="66.04" y2="53.975" width="0.4064" layer="1"/>
+<wire x1="66.04" y1="53.975" x2="65.405" y2="54.61" width="0.4064" layer="1"/>
+<wire x1="65.405" y1="54.61" x2="45.72" y2="54.61" width="0.4064" layer="1"/>
+<wire x1="45.72" y1="54.61" x2="45.085" y2="53.975" width="0.4064" layer="1"/>
+<wire x1="45.085" y1="53.975" x2="45.085" y2="49.53" width="0.4064" layer="1"/>
+<via x="88.9" y="19.685" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="88.9" y1="19.685" x2="88.9" y2="23.495" width="0.4064" layer="16"/>
+<via x="88.9" y="23.495" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="88.9" y1="23.495" x2="88.9" y2="29.21" width="0.4064" layer="1"/>
+</signal>
+<signal name="!WE">
+<contactref element="IC5" pad="27"/>
+<contactref element="X2" pad="13"/>
+<wire x1="44.215" y1="15.875" x2="43.18" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="43.18" y1="15.875" x2="42.545" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="42.545" y1="15.24" x2="42.545" y2="9.525" width="0.4064" layer="1"/>
+<wire x1="42.545" y1="9.525" x2="41.91" y2="8.89" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="8.89" x2="41.91" y2="8.89" width="0.4064" layer="1"/>
+</signal>
+<signal name="!RAM_CS">
+<contactref element="IC5" pad="20"/>
+<contactref element="X2" pad="6"/>
+<wire x1="40.64" y1="24.765" x2="44.215" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="36.83" y1="26.67" x2="38.735" y2="26.67" width="0.4064" layer="1"/>
+<wire x1="38.735" y1="26.67" x2="40.64" y2="24.765" width="0.4064" layer="1"/>
+</signal>
+<signal name="!OE">
+<contactref element="IC5" pad="22"/>
+<contactref element="X2" pad="8"/>
+<wire x1="44.215" y1="22.225" x2="40.005" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="40.005" y1="22.225" x2="39.37" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="39.37" y1="21.59" x2="36.83" y2="21.59" width="0.4064" layer="1"/>
+</signal>
+<signal name="D_OUT">
+<contactref element="SV1" pad="5"/>
+<contactref element="IC1" pad="9"/>
+<wire x1="52.6034" y1="40.64" x2="55.245" y2="40.64" width="0.4064" layer="1"/>
+<via x="55.245" y="40.64" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="55.245" y1="40.64" x2="55.88" y2="40.64" width="0.4064" layer="16"/>
+<wire x1="55.88" y1="40.64" x2="56.515" y2="41.275" width="0.4064" layer="16"/>
+<wire x1="56.515" y1="41.275" x2="56.515" y2="45.085" width="0.4064" layer="16"/>
+<wire x1="6.985" y1="46.355" x2="6.985" y2="9.525" width="0.4064" layer="16"/>
+<wire x1="56.515" y1="45.085" x2="55.88" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="6.985" y1="46.355" x2="7.62" y2="46.99" width="0.4064" layer="16"/>
+<wire x1="7.62" y1="46.99" x2="53.34" y2="46.99" width="0.4064" layer="16"/>
+<wire x1="53.34" y1="46.99" x2="54.61" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="54.61" y1="45.72" x2="55.88" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="6.985" y1="9.525" x2="6.35" y2="8.89" width="0.4064" layer="16"/>
+<wire x1="6.35" y1="8.89" x2="3.81" y2="8.89" width="0.4064" layer="16"/>
+</signal>
+</signals>
+</board>
+</drawing>
+</eagle>
diff --git a/hardware-v2/ram-ice.rep b/hardware-v2/ram-ice.rep
new file mode 100644
index 0000000..187b1dd
--- /dev/null
+++ b/hardware-v2/ram-ice.rep
@@ -0,0 +1,230 @@
+Data Exported from: /home/trygvis/dev/io.trygvis/2013/02/ram-ice/hardware/ram-ice.brd
+with: /home/trygvis/opt/eagle/eagle-6.4.0/ulp/statistic-brd.ulp Version 1.3.8
+at: 2/17/13 2:23 AM
+EAGLE Version 6.4.0 Copyright (c) 1988-2013 CadSoft
+
+all Values in mm
+max. Board length (Layer 20)
+X = 100.00
+Y = 80.00
+Outline contour = 360.00
+
+used layers 2
+
+ 1 Top
+16 Bottom
+_________________________
+
+471 Wire(s) incl. Arc(s)
+0 Polygon(s)
+_________________________
+102 SMD(s) top
+0 SMD(s) bottom
+===================
+102 SMD(s) total
+
+34 PAD(s)
+_________________________
+45 Via
+0 Hole
+===================
+79 Drills total
+_________________________
+102 tCream
+0 bCream
+_________________________
+Routing Info:
+36 Signal(s)
+136 PAD/SMD total
+===================
+132 PAD/SMD on Signal
+_________________________
+Packages used area:
+~ 1144.55 mm² (0.114 dm²)
+_________________________
+
+
+============================
+
+============================
+13 Elements: 0 locked / 13 unlocked
+0 Testpoints (TP)
+
+----------------------------
+LAYER
+Nb. Name Used
+ 1 Top 1
+ 16 Bottom 1
+ 17 Pads 1
+ 18 Vias 1
+ 19 Unrouted 0
+ 20 Dimension 1
+ 21 tPlace 1
+ 22 bPlace 0
+ 23 tOrigins 1
+ 24 bOrigins 0
+ 25 tNames 1
+ 26 bNames 0
+ 27 tValues 1
+ 28 bValues 0
+ 29 tStop 1
+ 30 bStop 1
+ 31 tCream 1
+ 32 bCream 0
+ 33 tFinish 0
+ 34 bFinish 0
+ 35 tGlue 1
+ 36 bGlue 0
+ 37 tTest 0
+ 38 bTest 0
+ 39 tKeepout 1
+ 40 bKeepout 0
+ 41 tRestrict 0
+ 42 bRestrict 0
+ 43 vRestrict 0
+ 44 Drills 1
+ 45 Holes 0
+ 46 Milling 0
+ 47 Measures 0
+ 48 Document 0
+ 49 Reference 0
+ 51 tDocu 1
+ 52 bDocu 0
+
+----------------------------
+CLASS
+# Name min. Width Clearance min. Drill Used
+0 default 0.0000 0.0000 0.0000 36
+
+----------------------------
+WIDTH
+WIRE Q.
+0.4064 471
+
+ARC Q.
+ * Wire width are saved in 0.2 micron resolution.
+
+POLY. width Q.
+
+POLY. Isol. Q.
+
+Polygon
+Type Name Layer Rank Width
+ * Wire width are saved in 0.2 micron resolution.
+
+----------------------------
+CIRCLE (width) Q.
+
+CIRCLE diam. Q.
+
+----------------------------
+TEXT (w) Q.
+
+TEXT (s) Q.
+
+----------------------------
+SMD x SMD y Roundn. Q.
+1.6000 1.8000 0% 10
+0.6604 2.0320 0% 64
+0.7620 1.5240 0% 28
+
+PAD tDiam Q.
+1.5240 34
+
+PAD bDiam Q.
+1.5240 34
+
+PAD tRestring Q.
+0.2540 34
+
+PAD bRestring Q.
+0.2540 34
+
+PAD iDiam Q.
+1.5240 34
+
+PAD iRestring Q.
+0.2540 34
+
+VIA Outer-Diam Q.
+1.0064 45
+
+VIA Outer-Restring Q.
+0.2032 45
+
+VIA Inner-Diam. Q.
+1.0064 45
+
+VIA Inner-Restring Q.
+0.2032 45
+
+VIA drill Q.
+0.6000 45
+
+VIA Stack Q.
+01-16 45
+01-16-PAD 34
+
+PAD drill Q.
+1.0160 34
+
+----------------------------
+HOLE drill Q.
+
+RACK
+T01 0.6
+T02 1.0
+
+----------------------------
+LIBRARY Q.
+resistor 5
+74xx-eu 4
+memory-idt 1
+con-lstb 1
+con-molex 2
+
+PACKAGE Q.
+C1206 5
+SO16 4
+SO28-3 1
+MA06-1 1
+70543-14 2
+
+VALUE PAC Q. Top Bot
+~/-empty-/~C1 C1206 1 1 0
+~/-empty-/~C2 C1206 1 1 0
+~/-empty-/~C3 C1206 1 1 0
+~/-empty-/~C4 C1206 1 1 0
+~/-empty-/~C5 C1206 1 1 0
+74AC165D SO16 1 1 0
+74HC595D SO16 3 3 0
+~/-empty-/~IC5 SO28-3 1 1 0
+~/-empty-/~SV1 MA06-1 1 1 0
+C-GRID-14-70543 70543-14 2 2 0
+
+----------------------------
+RECT x RECT y Q.
+0 RECT (copper)
+
+----------------------------
+RECT Layer Q.
+
+----------------------------
+TEXT (s) Q.
+0 TEXT size (copper)
+
+TEXT (w) Q.
+0 TEXT wire width (copper)
+
+TEXT Q.
+1.2700 16
+1.7780 1
+1.0160 2
+0.8128 2
+4 TEXT size (place)
+4 TEXT wire width (place)
+
+CIRCLE diam. Q.
+0 CIRCLE (copper)
+
+End report
diff --git a/hardware-v2/ram-ice.sch b/hardware-v2/ram-ice.sch
new file mode 100644
index 0000000..461aaff
--- /dev/null
+++ b/hardware-v2/ram-ice.sch
@@ -0,0 +1,5460 @@
+<?xml version="1.0" encoding="utf-8"?>
+<!DOCTYPE eagle SYSTEM "eagle.dtd">
+<eagle version="6.4">
+<drawing>
+<settings>
+<setting alwaysvectorfont="no"/>
+<setting verticaltext="up"/>
+</settings>
+<grid distance="0.1" unitdist="inch" unit="inch" style="lines" multiple="1" display="no" altdistance="0.01" altunitdist="inch" altunit="inch"/>
+<layers>
+<layer number="1" name="Top" color="4" fill="1" visible="no" active="no"/>
+<layer number="16" name="Bottom" color="1" fill="1" visible="no" active="no"/>
+<layer number="17" name="Pads" color="2" fill="1" visible="no" active="no"/>
+<layer number="18" name="Vias" color="2" fill="1" visible="no" active="no"/>
+<layer number="19" name="Unrouted" color="6" fill="1" visible="no" active="no"/>
+<layer number="20" name="Dimension" color="15" fill="1" visible="no" active="no"/>
+<layer number="21" name="tPlace" color="7" fill="1" visible="no" active="no"/>
+<layer number="22" name="bPlace" color="7" fill="1" visible="no" active="no"/>
+<layer number="23" name="tOrigins" color="15" fill="1" visible="no" active="no"/>
+<layer number="24" name="bOrigins" color="15" fill="1" visible="no" active="no"/>
+<layer number="25" name="tNames" color="7" fill="1" visible="no" active="no"/>
+<layer number="26" name="bNames" color="7" fill="1" visible="no" active="no"/>
+<layer number="27" name="tValues" color="7" fill="1" visible="no" active="no"/>
+<layer number="28" name="bValues" color="7" fill="1" visible="no" active="no"/>
+<layer number="29" name="tStop" color="7" fill="3" visible="no" active="no"/>
+<layer number="30" name="bStop" color="7" fill="6" visible="no" active="no"/>
+<layer number="31" name="tCream" color="7" fill="4" visible="no" active="no"/>
+<layer number="32" name="bCream" color="7" fill="5" visible="no" active="no"/>
+<layer number="33" name="tFinish" color="6" fill="3" visible="no" active="no"/>
+<layer number="34" name="bFinish" color="6" fill="6" visible="no" active="no"/>
+<layer number="35" name="tGlue" color="7" fill="4" visible="no" active="no"/>
+<layer number="36" name="bGlue" color="7" fill="5" visible="no" active="no"/>
+<layer number="37" name="tTest" color="7" fill="1" visible="no" active="no"/>
+<layer number="38" name="bTest" color="7" fill="1" visible="no" active="no"/>
+<layer number="39" name="tKeepout" color="4" fill="11" visible="no" active="no"/>
+<layer number="40" name="bKeepout" color="1" fill="11" visible="no" active="no"/>
+<layer number="41" name="tRestrict" color="4" fill="10" visible="no" active="no"/>
+<layer number="42" name="bRestrict" color="1" fill="10" visible="no" active="no"/>
+<layer number="43" name="vRestrict" color="2" fill="10" visible="no" active="no"/>
+<layer number="44" name="Drills" color="7" fill="1" visible="no" active="no"/>
+<layer number="45" name="Holes" color="7" fill="1" visible="no" active="no"/>
+<layer number="46" name="Milling" color="3" fill="1" visible="no" active="no"/>
+<layer number="47" name="Measures" color="7" fill="1" visible="no" active="no"/>
+<layer number="48" name="Document" color="7" fill="1" visible="no" active="no"/>
+<layer number="49" name="Reference" color="7" fill="1" visible="no" active="no"/>
+<layer number="51" name="tDocu" color="7" fill="1" visible="no" active="no"/>
+<layer number="52" name="bDocu" color="7" fill="1" visible="no" active="no"/>
+<layer number="91" name="Nets" color="2" fill="1" visible="yes" active="yes"/>
+<layer number="92" name="Busses" color="1" fill="1" visible="yes" active="yes"/>
+<layer number="93" name="Pins" color="2" fill="1" visible="no" active="yes"/>
+<layer number="94" name="Symbols" color="4" fill="1" visible="yes" active="yes"/>
+<layer number="95" name="Names" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="96" name="Values" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="97" name="Info" color="7" fill="1" visible="yes" active="yes"/>
+<layer number="98" name="Guide" color="6" fill="1" visible="yes" active="yes"/>
+</layers>
+<schematic xreflabel="%F%N/%S.%C%R" xrefpart="/%S.%C%R">
+<libraries>
+<library name="74xx-eu">
+<description>&lt;b&gt;TTL Devices, 74xx Series with European Symbols&lt;/b&gt;&lt;p&gt;
+Based on the following sources:
+&lt;ul&gt;
+&lt;li&gt;Texas Instruments &lt;i&gt;TTL Data Book&lt;/i&gt;&amp;nbsp;&amp;nbsp;&amp;nbsp;Volume 1, 1996.
+&lt;li&gt;TTL Data Book, Volume 2 , 1993
+&lt;li&gt;National Seminconductor Databook 1990, ALS/LS Logic
+&lt;li&gt;ttl 74er digital data dictionary, ECA Electronic + Acustic GmbH, ISBN 3-88109-032-0
+&lt;li&gt;http://icmaster.com/ViewCompare.asp
+&lt;/ul&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
+<packages>
+<package name="DIL16">
+<description>&lt;b&gt;Dual In Line Package&lt;/b&gt;</description>
+<wire x1="10.16" y1="2.921" x2="-10.16" y2="2.921" width="0.1524" layer="21"/>
+<wire x1="-10.16" y1="-2.921" x2="10.16" y2="-2.921" width="0.1524" layer="21"/>
+<wire x1="10.16" y1="2.921" x2="10.16" y2="-2.921" width="0.1524" layer="21"/>
+<wire x1="-10.16" y1="2.921" x2="-10.16" y2="1.016" width="0.1524" layer="21"/>
+<wire x1="-10.16" y1="-2.921" x2="-10.16" y2="-1.016" width="0.1524" layer="21"/>
+<wire x1="-10.16" y1="1.016" x2="-10.16" y2="-1.016" width="0.1524" layer="21" curve="-180"/>
+<pad name="1" x="-8.89" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="2" x="-6.35" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="7" x="6.35" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="8" x="8.89" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="3" x="-3.81" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="4" x="-1.27" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="6" x="3.81" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="5" x="1.27" y="-3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="9" x="8.89" y="3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="10" x="6.35" y="3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="11" x="3.81" y="3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="12" x="1.27" y="3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="13" x="-1.27" y="3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="14" x="-3.81" y="3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="15" x="-6.35" y="3.81" drill="0.8128" shape="long" rot="R90"/>
+<pad name="16" x="-8.89" y="3.81" drill="0.8128" shape="long" rot="R90"/>
+<text x="-10.541" y="-2.921" size="1.27" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<text x="-7.493" y="-0.635" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="SO16">
+<description>&lt;b&gt;Small Outline package&lt;/b&gt; 150 mil</description>
+<wire x1="4.699" y1="1.9558" x2="-4.699" y2="1.9558" width="0.1524" layer="51"/>
+<wire x1="4.699" y1="-1.9558" x2="5.08" y2="-1.5748" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.08" y1="1.5748" x2="-4.699" y2="1.9558" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.699" y1="1.9558" x2="5.08" y2="1.5748" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-5.08" y1="-1.5748" x2="-4.699" y2="-1.9558" width="0.1524" layer="21" curve="90"/>
+<wire x1="-4.699" y1="-1.9558" x2="4.699" y2="-1.9558" width="0.1524" layer="51"/>
+<wire x1="5.08" y1="-1.5748" x2="5.08" y2="1.5748" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="1.5748" x2="-5.08" y2="0.508" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0.508" x2="-5.08" y2="-0.508" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="-0.508" x2="-5.08" y2="-1.5748" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0.508" x2="-5.08" y2="-0.508" width="0.1524" layer="21" curve="-180"/>
+<wire x1="-5.08" y1="-1.6002" x2="5.08" y2="-1.6002" width="0.0508" layer="21"/>
+<smd name="1" x="-4.445" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="16" x="-4.445" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="2" x="-3.175" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="3" x="-1.905" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="15" x="-3.175" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="14" x="-1.905" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="4" x="-0.635" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="13" x="-0.635" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="5" x="0.635" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="12" x="0.635" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="6" x="1.905" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="7" x="3.175" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="11" x="1.905" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="10" x="3.175" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="8" x="4.445" y="-3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<smd name="9" x="4.445" y="3.0734" dx="0.6604" dy="2.032" layer="1"/>
+<text x="-3.81" y="-0.762" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+<text x="-5.461" y="-1.905" size="1.27" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<rectangle x1="-0.889" y1="1.9558" x2="-0.381" y2="3.0988" layer="51"/>
+<rectangle x1="-4.699" y1="-3.0988" x2="-4.191" y2="-1.9558" layer="51"/>
+<rectangle x1="-3.429" y1="-3.0988" x2="-2.921" y2="-1.9558" layer="51"/>
+<rectangle x1="-2.159" y1="-3.0734" x2="-1.651" y2="-1.9304" layer="51"/>
+<rectangle x1="-0.889" y1="-3.0988" x2="-0.381" y2="-1.9558" layer="51"/>
+<rectangle x1="-2.159" y1="1.9558" x2="-1.651" y2="3.0988" layer="51"/>
+<rectangle x1="-3.429" y1="1.9558" x2="-2.921" y2="3.0988" layer="51"/>
+<rectangle x1="-4.699" y1="1.9558" x2="-4.191" y2="3.0988" layer="51"/>
+<rectangle x1="0.381" y1="-3.0988" x2="0.889" y2="-1.9558" layer="51"/>
+<rectangle x1="1.651" y1="-3.0988" x2="2.159" y2="-1.9558" layer="51"/>
+<rectangle x1="2.921" y1="-3.0988" x2="3.429" y2="-1.9558" layer="51"/>
+<rectangle x1="4.191" y1="-3.0988" x2="4.699" y2="-1.9558" layer="51"/>
+<rectangle x1="0.381" y1="1.9558" x2="0.889" y2="3.0988" layer="51"/>
+<rectangle x1="1.651" y1="1.9558" x2="2.159" y2="3.0988" layer="51"/>
+<rectangle x1="2.921" y1="1.9558" x2="3.429" y2="3.0988" layer="51"/>
+<rectangle x1="4.191" y1="1.9558" x2="4.699" y2="3.0988" layer="51"/>
+</package>
+<package name="LCC20">
+<description>&lt;b&gt;Leadless Chip Carrier&lt;/b&gt;&lt;p&gt; Ceramic Package</description>
+<wire x1="-0.4001" y1="4.4" x2="-0.87" y2="4.4" width="0.2032" layer="51"/>
+<wire x1="-3.3" y1="4.4" x2="-4.4" y2="3.3" width="0.2032" layer="51"/>
+<wire x1="-0.4001" y1="4.3985" x2="0.4001" y2="4.3985" width="0.2032" layer="51" curve="180"/>
+<wire x1="-1.6701" y1="4.3985" x2="-0.8699" y2="4.3985" width="0.2032" layer="51" curve="180"/>
+<wire x1="-4.3985" y1="2.14" x2="-4.3985" y2="2.94" width="0.2032" layer="51" curve="180"/>
+<wire x1="-2.9401" y1="4.4" x2="-3.3" y2="4.4" width="0.2032" layer="51"/>
+<wire x1="0.87" y1="4.4" x2="0.4001" y2="4.4" width="0.2032" layer="51"/>
+<wire x1="0.87" y1="4.3985" x2="1.67" y2="4.3985" width="0.2032" layer="51" curve="180"/>
+<wire x1="-4.4" y1="3.3" x2="-4.4" y2="2.9401" width="0.2032" layer="51"/>
+<wire x1="-4.4" y1="2.14" x2="-4.4" y2="1.6701" width="0.2032" layer="51"/>
+<wire x1="-4.3985" y1="0.87" x2="-4.3985" y2="1.67" width="0.2032" layer="51" curve="180"/>
+<wire x1="-4.3985" y1="-0.4001" x2="-4.3985" y2="0.4001" width="0.2032" layer="51" curve="180"/>
+<wire x1="-4.3985" y1="-1.6701" x2="-4.3985" y2="-0.8699" width="0.2032" layer="51" curve="180"/>
+<wire x1="-4.4" y1="0.87" x2="-4.4" y2="0.4001" width="0.2032" layer="51"/>
+<wire x1="-4.4" y1="-0.4001" x2="-4.4" y2="-0.87" width="0.2032" layer="51"/>
+<wire x1="-4.4" y1="-2.9401" x2="-4.4" y2="-4.4" width="0.2032" layer="51"/>
+<wire x1="-4.4" y1="-4.4" x2="-4.4" y2="-4.4099" width="0.2032" layer="51"/>
+<wire x1="2.14" y1="4.3985" x2="2.94" y2="4.3985" width="0.2032" layer="51" curve="180"/>
+<wire x1="2.14" y1="4.4" x2="1.6701" y2="4.4" width="0.2032" layer="51"/>
+<wire x1="4.4" y1="4.4" x2="2.9401" y2="4.4" width="0.2032" layer="51"/>
+<wire x1="0.4001" y1="-4.4" x2="0.87" y2="-4.4" width="0.2032" layer="51"/>
+<wire x1="-0.4001" y1="-4.3985" x2="0.4001" y2="-4.3985" width="0.2032" layer="51" curve="-180"/>
+<wire x1="0.87" y1="-4.3985" x2="1.67" y2="-4.3985" width="0.2032" layer="51" curve="-180"/>
+<wire x1="2.9401" y1="-4.4" x2="4.4" y2="-4.4" width="0.2032" layer="51"/>
+<wire x1="-0.87" y1="-4.4" x2="-0.4001" y2="-4.4" width="0.2032" layer="51"/>
+<wire x1="-1.6701" y1="-4.3985" x2="-0.8699" y2="-4.3985" width="0.2032" layer="51" curve="-180"/>
+<wire x1="-2.9401" y1="-4.3985" x2="-2.1399" y2="-4.3985" width="0.2032" layer="51" curve="-180"/>
+<wire x1="-2.14" y1="-4.4" x2="-1.6701" y2="-4.4" width="0.2032" layer="51"/>
+<wire x1="-4.4" y1="-4.4" x2="-2.9401" y2="-4.4" width="0.2032" layer="51"/>
+<wire x1="4.4" y1="0.4001" x2="4.4" y2="0.87" width="0.2032" layer="51"/>
+<wire x1="4.3985" y1="0.4001" x2="4.3985" y2="-0.4001" width="0.2032" layer="51" curve="180"/>
+<wire x1="4.3985" y1="1.6701" x2="4.3985" y2="0.8699" width="0.2032" layer="51" curve="180"/>
+<wire x1="4.4" y1="2.9401" x2="4.4" y2="4.4" width="0.2032" layer="51"/>
+<wire x1="4.4" y1="-0.87" x2="4.4" y2="-0.4001" width="0.2032" layer="51"/>
+<wire x1="4.3985" y1="-0.87" x2="4.3985" y2="-1.67" width="0.2032" layer="51" curve="180"/>
+<wire x1="4.3985" y1="-2.14" x2="4.3985" y2="-2.94" width="0.2032" layer="51" curve="180"/>
+<wire x1="4.4" y1="-2.14" x2="4.4" y2="-1.6701" width="0.2032" layer="51"/>
+<wire x1="4.4" y1="-4.4" x2="4.4" y2="-2.9401" width="0.2032" layer="51"/>
+<wire x1="-2.9401" y1="4.3985" x2="-2.1399" y2="4.3985" width="0.2032" layer="51" curve="180"/>
+<wire x1="-1.6701" y1="4.4" x2="-2.14" y2="4.4" width="0.2032" layer="51"/>
+<wire x1="-4.3985" y1="-2.9401" x2="-4.3985" y2="-2.1399" width="0.2032" layer="51" curve="180"/>
+<wire x1="-4.4" y1="-1.6701" x2="-4.4" y2="-2.14" width="0.2032" layer="51"/>
+<wire x1="1.6701" y1="-4.4" x2="2.14" y2="-4.4" width="0.2032" layer="51"/>
+<wire x1="2.14" y1="-4.3985" x2="2.94" y2="-4.3985" width="0.2032" layer="51" curve="-180"/>
+<wire x1="4.3985" y1="2.9401" x2="4.3985" y2="2.1399" width="0.2032" layer="51" curve="180"/>
+<wire x1="4.4" y1="1.6701" x2="4.4" y2="2.14" width="0.2032" layer="51"/>
+<wire x1="-3.3" y1="4.4" x2="-4.4" y2="3.3" width="0.2032" layer="21"/>
+<wire x1="-4.4" y1="-3.1941" x2="-4.4" y2="-4.4" width="0.2032" layer="21"/>
+<wire x1="-4.4" y1="-4.4" x2="-3.1941" y2="-4.4" width="0.2032" layer="21"/>
+<wire x1="3.1941" y1="-4.4" x2="4.4" y2="-4.4" width="0.2032" layer="21"/>
+<wire x1="4.4" y1="-4.4" x2="4.4" y2="-3.1941" width="0.2032" layer="21"/>
+<wire x1="4.4" y1="3.1941" x2="4.4" y2="4.4" width="0.2032" layer="21"/>
+<wire x1="4.4" y1="4.4" x2="3.1941" y2="4.4" width="0.2032" layer="21"/>
+<smd name="2" x="-1.27" y="4.5001" dx="0.8" dy="2" layer="1"/>
+<smd name="1" x="0" y="3.8001" dx="0.8" dy="3.4" layer="1"/>
+<smd name="3" x="-2.54" y="4.5001" dx="0.8" dy="2" layer="1"/>
+<smd name="4" x="-4.5001" y="2.54" dx="2" dy="0.8" layer="1"/>
+<smd name="5" x="-4.5001" y="1.27" dx="2" dy="0.8" layer="1"/>
+<smd name="6" x="-4.5001" y="0" dx="2" dy="0.8" layer="1"/>
+<smd name="7" x="-4.5001" y="-1.27" dx="2" dy="0.8" layer="1"/>
+<smd name="8" x="-4.5001" y="-2.54" dx="2" dy="0.8" layer="1"/>
+<smd name="9" x="-2.54" y="-4.5001" dx="0.8" dy="2" layer="1"/>
+<smd name="10" x="-1.27" y="-4.5001" dx="0.8" dy="2" layer="1"/>
+<smd name="11" x="0" y="-4.5001" dx="0.8" dy="2" layer="1"/>
+<smd name="12" x="1.27" y="-4.5001" dx="0.8" dy="2" layer="1"/>
+<smd name="13" x="2.54" y="-4.5001" dx="0.8" dy="2" layer="1"/>
+<smd name="14" x="4.5001" y="-2.54" dx="2" dy="0.8" layer="1"/>
+<smd name="15" x="4.5001" y="-1.27" dx="2" dy="0.8" layer="1"/>
+<smd name="16" x="4.5001" y="0" dx="2" dy="0.8" layer="1"/>
+<smd name="17" x="4.5001" y="1.27" dx="2" dy="0.8" layer="1"/>
+<smd name="18" x="4.5001" y="2.54" dx="2" dy="0.8" layer="1"/>
+<smd name="19" x="2.54" y="4.5001" dx="0.8" dy="2" layer="1"/>
+<smd name="20" x="1.27" y="4.5001" dx="0.8" dy="2" layer="1"/>
+<text x="-4.0051" y="6.065" size="1.778" layer="25">&gt;NAME</text>
+<text x="-3.9751" y="-7.5601" size="1.778" layer="27">&gt;VALUE</text>
+</package>
+</packages>
+<symbols>
+<symbol name="74165">
+<wire x1="-7.62" y1="-17.78" x2="7.62" y2="-17.78" width="0.4064" layer="94"/>
+<wire x1="7.62" y1="-17.78" x2="7.62" y2="17.78" width="0.4064" layer="94"/>
+<wire x1="7.62" y1="17.78" x2="-7.62" y2="17.78" width="0.4064" layer="94"/>
+<wire x1="-7.62" y1="17.78" x2="-7.62" y2="-17.78" width="0.4064" layer="94"/>
+<text x="-7.62" y="18.415" size="1.778" layer="95" font="vector">&gt;NAME</text>
+<text x="-7.62" y="-20.32" size="1.778" layer="96">&gt;VALUE</text>
+<pin name="SH/!LD" x="-12.7" y="-15.24" length="middle" direction="in"/>
+<pin name="CLK" x="-12.7" y="-10.16" length="middle" direction="in" function="clk"/>
+<pin name="E" x="-12.7" y="2.54" length="middle" direction="in"/>
+<pin name="F" x="-12.7" y="0" length="middle" direction="in"/>
+<pin name="G" x="-12.7" y="-2.54" length="middle" direction="in"/>
+<pin name="H" x="-12.7" y="-5.08" length="middle" direction="in"/>
+<pin name="!QH" x="12.7" y="5.08" length="middle" direction="out" rot="R180"/>
+<pin name="QH" x="12.7" y="12.7" length="middle" direction="out" rot="R180"/>
+<pin name="SER" x="-12.7" y="15.24" length="middle" direction="in"/>
+<pin name="A" x="-12.7" y="12.7" length="middle" direction="in"/>
+<pin name="B" x="-12.7" y="10.16" length="middle" direction="in"/>
+<pin name="C" x="-12.7" y="7.62" length="middle" direction="in"/>
+<pin name="D" x="-12.7" y="5.08" length="middle" direction="in"/>
+<pin name="INH" x="-12.7" y="-12.7" length="middle" direction="in" function="clk"/>
+</symbol>
+<symbol name="PWRN">
+<text x="-0.635" y="-0.635" size="1.778" layer="95">&gt;NAME</text>
+<text x="1.905" y="-5.842" size="1.27" layer="95" rot="R90">GND</text>
+<text x="1.905" y="2.54" size="1.27" layer="95" rot="R90">VCC</text>
+<pin name="GND" x="0" y="-7.62" visible="pad" length="middle" direction="pwr" rot="R90"/>
+<pin name="VCC" x="0" y="7.62" visible="pad" length="middle" direction="pwr" rot="R270"/>
+</symbol>
+<symbol name="74595">
+<wire x1="-7.62" y1="-15.24" x2="7.62" y2="-15.24" width="0.4064" layer="94"/>
+<wire x1="7.62" y1="-15.24" x2="7.62" y2="12.7" width="0.4064" layer="94"/>
+<wire x1="7.62" y1="12.7" x2="-7.62" y2="12.7" width="0.4064" layer="94"/>
+<wire x1="-7.62" y1="12.7" x2="-7.62" y2="-15.24" width="0.4064" layer="94"/>
+<text x="-7.62" y="13.335" size="1.778" layer="95" font="vector">&gt;NAME</text>
+<text x="-7.62" y="-17.78" size="1.778" layer="96">&gt;VALUE</text>
+<pin name="QB" x="12.7" y="7.62" length="middle" direction="hiz" rot="R180"/>
+<pin name="QC" x="12.7" y="5.08" length="middle" direction="hiz" rot="R180"/>
+<pin name="QD" x="12.7" y="2.54" length="middle" direction="hiz" rot="R180"/>
+<pin name="QE" x="12.7" y="0" length="middle" direction="hiz" rot="R180"/>
+<pin name="QF" x="12.7" y="-2.54" length="middle" direction="hiz" rot="R180"/>
+<pin name="QG" x="12.7" y="-5.08" length="middle" direction="hiz" rot="R180"/>
+<pin name="QH" x="12.7" y="-7.62" length="middle" direction="hiz" rot="R180"/>
+<pin name="SCL" x="-12.7" y="2.54" length="middle" direction="in" function="dot"/>
+<pin name="SCK" x="-12.7" y="5.08" length="middle" direction="in" function="clk"/>
+<pin name="RCK" x="-12.7" y="-2.54" length="middle" direction="in" function="clk"/>
+<pin name="G" x="-12.7" y="-12.7" length="middle" direction="in" function="dot"/>
+<pin name="SER" x="-12.7" y="10.16" length="middle" direction="in"/>
+<pin name="QA" x="12.7" y="10.16" length="middle" direction="hiz" rot="R180"/>
+<pin name="QH*" x="12.7" y="-12.7" length="middle" direction="hiz" rot="R180"/>
+</symbol>
+</symbols>
+<devicesets>
+<deviceset name="74*165" prefix="IC">
+<description>8-bit parallel load &lt;b&gt;SHIFT REGISTER&lt;/b&gt;</description>
+<gates>
+<gate name="A" symbol="74165" x="20.32" y="0"/>
+<gate name="P" symbol="PWRN" x="-5.08" y="0" addlevel="request"/>
+</gates>
+<devices>
+<device name="N" package="DIL16">
+<connects>
+<connect gate="A" pin="!QH" pad="7"/>
+<connect gate="A" pin="A" pad="11"/>
+<connect gate="A" pin="B" pad="12"/>
+<connect gate="A" pin="C" pad="13"/>
+<connect gate="A" pin="CLK" pad="2"/>
+<connect gate="A" pin="D" pad="14"/>
+<connect gate="A" pin="E" pad="3"/>
+<connect gate="A" pin="F" pad="4"/>
+<connect gate="A" pin="G" pad="5"/>
+<connect gate="A" pin="H" pad="6"/>
+<connect gate="A" pin="INH" pad="15"/>
+<connect gate="A" pin="QH" pad="9"/>
+<connect gate="A" pin="SER" pad="10"/>
+<connect gate="A" pin="SH/!LD" pad="1"/>
+<connect gate="P" pin="GND" pad="8"/>
+<connect gate="P" pin="VCC" pad="16"/>
+</connects>
+<technologies>
+<technology name=""/>
+<technology name="HC"/>
+<technology name="HCT"/>
+<technology name="LS"/>
+</technologies>
+</device>
+<device name="D" package="SO16">
+<connects>
+<connect gate="A" pin="!QH" pad="7"/>
+<connect gate="A" pin="A" pad="11"/>
+<connect gate="A" pin="B" pad="12"/>
+<connect gate="A" pin="C" pad="13"/>
+<connect gate="A" pin="CLK" pad="2"/>
+<connect gate="A" pin="D" pad="14"/>
+<connect gate="A" pin="E" pad="3"/>
+<connect gate="A" pin="F" pad="4"/>
+<connect gate="A" pin="G" pad="5"/>
+<connect gate="A" pin="H" pad="6"/>
+<connect gate="A" pin="INH" pad="15"/>
+<connect gate="A" pin="QH" pad="9"/>
+<connect gate="A" pin="SER" pad="10"/>
+<connect gate="A" pin="SH/!LD" pad="1"/>
+<connect gate="P" pin="GND" pad="8"/>
+<connect gate="P" pin="VCC" pad="16"/>
+</connects>
+<technologies>
+<technology name="AC"/>
+<technology name="ACT"/>
+<technology name="HC"/>
+<technology name="LS"/>
+</technologies>
+</device>
+<device name="FK" package="LCC20">
+<connects>
+<connect gate="A" pin="!QH" pad="9"/>
+<connect gate="A" pin="A" pad="14"/>
+<connect gate="A" pin="B" pad="15"/>
+<connect gate="A" pin="C" pad="17"/>
+<connect gate="A" pin="CLK" pad="3"/>
+<connect gate="A" pin="D" pad="18"/>
+<connect gate="A" pin="E" pad="4"/>
+<connect gate="A" pin="F" pad="5"/>
+<connect gate="A" pin="G" pad="7"/>
+<connect gate="A" pin="H" pad="8"/>
+<connect gate="A" pin="INH" pad="19"/>
+<connect gate="A" pin="QH" pad="12"/>
+<connect gate="A" pin="SER" pad="13"/>
+<connect gate="A" pin="SH/!LD" pad="2"/>
+<connect gate="P" pin="GND" pad="10"/>
+<connect gate="P" pin="VCC" pad="20"/>
+</connects>
+<technologies>
+<technology name="AC"/>
+<technology name="ACT"/>
+<technology name="HC"/>
+<technology name="LS"/>
+</technologies>
+</device>
+</devices>
+</deviceset>
+<deviceset name="74*595" prefix="IC">
+<description>8-bit &lt;b&gt;SHIFT REGISTER&lt;/b&gt;, output latch</description>
+<gates>
+<gate name="A" symbol="74595" x="22.86" y="0"/>
+<gate name="P" symbol="PWRN" x="-5.08" y="0" addlevel="request"/>
+</gates>
+<devices>
+<device name="N" package="DIL16">
+<connects>
+<connect gate="A" pin="G" pad="13"/>
+<connect gate="A" pin="QA" pad="15"/>
+<connect gate="A" pin="QB" pad="1"/>
+<connect gate="A" pin="QC" pad="2"/>
+<connect gate="A" pin="QD" pad="3"/>
+<connect gate="A" pin="QE" pad="4"/>
+<connect gate="A" pin="QF" pad="5"/>
+<connect gate="A" pin="QG" pad="6"/>
+<connect gate="A" pin="QH" pad="7"/>
+<connect gate="A" pin="QH*" pad="9"/>
+<connect gate="A" pin="RCK" pad="12"/>
+<connect gate="A" pin="SCK" pad="11"/>
+<connect gate="A" pin="SCL" pad="10"/>
+<connect gate="A" pin="SER" pad="14"/>
+<connect gate="P" pin="GND" pad="8"/>
+<connect gate="P" pin="VCC" pad="16"/>
+</connects>
+<technologies>
+<technology name="HC"/>
+<technology name="HCT"/>
+<technology name="LS"/>
+</technologies>
+</device>
+<device name="D" package="SO16">
+<connects>
+<connect gate="A" pin="G" pad="13"/>
+<connect gate="A" pin="QA" pad="15"/>
+<connect gate="A" pin="QB" pad="1"/>
+<connect gate="A" pin="QC" pad="2"/>
+<connect gate="A" pin="QD" pad="3"/>
+<connect gate="A" pin="QE" pad="4"/>
+<connect gate="A" pin="QF" pad="5"/>
+<connect gate="A" pin="QG" pad="6"/>
+<connect gate="A" pin="QH" pad="7"/>
+<connect gate="A" pin="QH*" pad="9"/>
+<connect gate="A" pin="RCK" pad="12"/>
+<connect gate="A" pin="SCK" pad="11"/>
+<connect gate="A" pin="SCL" pad="10"/>
+<connect gate="A" pin="SER" pad="14"/>
+<connect gate="P" pin="GND" pad="8"/>
+<connect gate="P" pin="VCC" pad="16"/>
+</connects>
+<technologies>
+<technology name="HC"/>
+<technology name="HCT"/>
+</technologies>
+</device>
+<device name="FK" package="LCC20">
+<connects>
+<connect gate="A" pin="G" pad="17"/>
+<connect gate="A" pin="QA" pad="19"/>
+<connect gate="A" pin="QB" pad="2"/>
+<connect gate="A" pin="QC" pad="3"/>
+<connect gate="A" pin="QD" pad="4"/>
+<connect gate="A" pin="QE" pad="5"/>
+<connect gate="A" pin="QF" pad="7"/>
+<connect gate="A" pin="QG" pad="8"/>
+<connect gate="A" pin="QH" pad="9"/>
+<connect gate="A" pin="QH*" pad="12"/>
+<connect gate="A" pin="RCK" pad="15"/>
+<connect gate="A" pin="SCK" pad="14"/>
+<connect gate="A" pin="SCL" pad="13"/>
+<connect gate="A" pin="SER" pad="18"/>
+<connect gate="P" pin="GND" pad="10"/>
+<connect gate="P" pin="VCC" pad="20"/>
+</connects>
+<technologies>
+<technology name="HC"/>
+<technology name="HCT"/>
+<technology name="LS"/>
+</technologies>
+</device>
+</devices>
+</deviceset>
+</devicesets>
+</library>
+<library name="memory-idt">
+<description>&lt;b&gt;IDT Memories&lt;/b&gt;&lt;p&gt;
+Integrated Device Technology, Inc.&lt;p&gt;
+http://www.idt.com&lt;p&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
+<packages>
+<package name="SO28-3">
+<description>&lt;b&gt;Small Outline Package&lt;/b&gt;</description>
+<wire x1="-8.814" y1="-4.248" x2="8.839" y2="-4.248" width="0.1524" layer="21"/>
+<wire x1="8.839" y1="-4.248" x2="8.839" y2="4.248" width="0.1524" layer="21"/>
+<wire x1="8.839" y1="4.248" x2="-8.814" y2="4.248" width="0.1524" layer="21"/>
+<wire x1="-8.814" y1="4.248" x2="-8.814" y2="-4.248" width="0.1524" layer="21"/>
+<circle x="-7.874" y="-3.0988" radius="0.5334" width="0.1524" layer="21"/>
+<smd name="1" x="-8.255" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="2" x="-6.985" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="3" x="-5.715" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="4" x="-4.445" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="5" x="-3.175" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="6" x="-1.905" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="7" x="-0.635" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="8" x="0.635" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="9" x="1.905" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="10" x="3.175" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="20" x="1.905" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="19" x="3.175" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="18" x="4.445" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="17" x="5.715" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="16" x="6.985" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="15" x="8.255" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="14" x="8.255" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="13" x="6.985" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="12" x="5.715" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="11" x="4.445" y="-5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="21" x="0.635" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="22" x="-0.635" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="23" x="-1.905" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="24" x="-3.175" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="25" x="-4.445" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="26" x="-5.715" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="27" x="-6.985" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<smd name="28" x="-8.255" y="5.315" dx="0.762" dy="1.524" layer="1"/>
+<text x="-9.144" y="-4.318" size="1.778" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<text x="-7.874" y="-1.524" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+<rectangle x1="-8.509" y1="-5.7976" x2="-8.001" y2="-4.2736" layer="51"/>
+<rectangle x1="-7.239" y1="-5.7976" x2="-6.731" y2="-4.2736" layer="51"/>
+<rectangle x1="-5.969" y1="-5.7976" x2="-5.461" y2="-4.2736" layer="51"/>
+<rectangle x1="-4.699" y1="-5.7976" x2="-4.191" y2="-4.2736" layer="51"/>
+<rectangle x1="-3.429" y1="-5.7976" x2="-2.921" y2="-4.2736" layer="51"/>
+<rectangle x1="-2.159" y1="-5.7976" x2="-1.651" y2="-4.2736" layer="51"/>
+<rectangle x1="-0.889" y1="-5.7976" x2="-0.381" y2="-4.2736" layer="51"/>
+<rectangle x1="0.381" y1="-5.7976" x2="0.889" y2="-4.2736" layer="51"/>
+<rectangle x1="1.651" y1="-5.7976" x2="2.159" y2="-4.2736" layer="51"/>
+<rectangle x1="2.921" y1="-5.7976" x2="3.429" y2="-4.2736" layer="51"/>
+<rectangle x1="4.191" y1="-5.7976" x2="4.699" y2="-4.2736" layer="51"/>
+<rectangle x1="5.461" y1="-5.7976" x2="5.969" y2="-4.2736" layer="51"/>
+<rectangle x1="6.731" y1="-5.7976" x2="7.239" y2="-4.2736" layer="51"/>
+<rectangle x1="8.001" y1="-5.7976" x2="8.509" y2="-4.2736" layer="51"/>
+<rectangle x1="8.001" y1="4.2735" x2="8.509" y2="5.7975" layer="51"/>
+<rectangle x1="6.731" y1="4.2735" x2="7.239" y2="5.7975" layer="51"/>
+<rectangle x1="5.461" y1="4.2735" x2="5.969" y2="5.7975" layer="51"/>
+<rectangle x1="4.191" y1="4.2735" x2="4.699" y2="5.7975" layer="51"/>
+<rectangle x1="2.921" y1="4.2735" x2="3.429" y2="5.7975" layer="51"/>
+<rectangle x1="1.651" y1="4.2735" x2="2.159" y2="5.7975" layer="51"/>
+<rectangle x1="0.381" y1="4.2735" x2="0.889" y2="5.7975" layer="51"/>
+<rectangle x1="-0.889" y1="4.2735" x2="-0.381" y2="5.7975" layer="51"/>
+<rectangle x1="-2.159" y1="4.2735" x2="-1.651" y2="5.7975" layer="51"/>
+<rectangle x1="-3.429" y1="4.2735" x2="-2.921" y2="5.7975" layer="51"/>
+<rectangle x1="-4.699" y1="4.2735" x2="-4.191" y2="5.7975" layer="51"/>
+<rectangle x1="-5.969" y1="4.2735" x2="-5.461" y2="5.7975" layer="51"/>
+<rectangle x1="-7.239" y1="4.2735" x2="-6.731" y2="5.7975" layer="51"/>
+<rectangle x1="-8.509" y1="4.2735" x2="-8.001" y2="5.7975" layer="51"/>
+</package>
+</packages>
+<symbols>
+<symbol name="71256">
+<wire x1="-7.62" y1="-25.4" x2="7.62" y2="-25.4" width="0.4064" layer="94"/>
+<wire x1="7.62" y1="-25.4" x2="7.62" y2="25.4" width="0.4064" layer="94"/>
+<wire x1="7.62" y1="25.4" x2="-7.62" y2="25.4" width="0.4064" layer="94"/>
+<wire x1="-7.62" y1="25.4" x2="-7.62" y2="-25.4" width="0.4064" layer="94"/>
+<text x="-7.62" y="26.035" size="1.778" layer="95">&gt;NAME</text>
+<text x="-7.62" y="-27.94" size="1.778" layer="96">&gt;VALUE</text>
+<pin name="A0" x="-12.7" y="22.86" length="middle" direction="in"/>
+<pin name="A1" x="-12.7" y="20.32" length="middle" direction="in"/>
+<pin name="A2" x="-12.7" y="17.78" length="middle" direction="in"/>
+<pin name="A3" x="-12.7" y="15.24" length="middle" direction="in"/>
+<pin name="A4" x="-12.7" y="12.7" length="middle" direction="in"/>
+<pin name="A5" x="-12.7" y="10.16" length="middle" direction="in"/>
+<pin name="A6" x="-12.7" y="7.62" length="middle" direction="in"/>
+<pin name="A7" x="-12.7" y="5.08" length="middle" direction="in"/>
+<pin name="A8" x="-12.7" y="2.54" length="middle" direction="in"/>
+<pin name="A9" x="-12.7" y="0" length="middle" direction="in"/>
+<pin name="A10" x="-12.7" y="-2.54" length="middle" direction="in"/>
+<pin name="!OE" x="-12.7" y="-17.78" length="middle" direction="in"/>
+<pin name="I/O5" x="12.7" y="12.7" length="middle" rot="R180"/>
+<pin name="I/O6" x="12.7" y="10.16" length="middle" rot="R180"/>
+<pin name="I/O7" x="12.7" y="7.62" length="middle" rot="R180"/>
+<pin name="I/O8" x="12.7" y="5.08" length="middle" rot="R180"/>
+<pin name="!WE" x="-12.7" y="-20.32" length="middle" direction="in"/>
+<pin name="!CS" x="-12.7" y="-22.86" length="middle" direction="in"/>
+<pin name="I/O1" x="12.7" y="22.86" length="middle" rot="R180"/>
+<pin name="I/O2" x="12.7" y="20.32" length="middle" rot="R180"/>
+<pin name="I/O3" x="12.7" y="17.78" length="middle" rot="R180"/>
+<pin name="I/O4" x="12.7" y="15.24" length="middle" rot="R180"/>
+<pin name="A11" x="-12.7" y="-5.08" length="middle" direction="in"/>
+<pin name="A12" x="-12.7" y="-7.62" length="middle" direction="in"/>
+<pin name="A13" x="-12.7" y="-10.16" length="middle" direction="in"/>
+<pin name="A14" x="-12.7" y="-12.7" length="middle" direction="in"/>
+</symbol>
+<symbol name="VCCGND">
+<text x="-0.635" y="-0.635" size="1.778" layer="95">&gt;NAME</text>
+<text x="1.905" y="-5.842" size="1.27" layer="95" rot="R90">GND</text>
+<text x="1.905" y="2.413" size="1.27" layer="95" rot="R90">VCC</text>
+<pin name="VCC" x="0" y="7.62" visible="pad" length="middle" direction="pwr" rot="R270"/>
+<pin name="GND" x="0" y="-7.62" visible="pad" length="middle" direction="pwr" rot="R90"/>
+</symbol>
+</symbols>
+<devicesets>
+<deviceset name="71256S" prefix="IC" uservalue="yes">
+<description>&lt;b&gt;MEMORY&lt;/b&gt;</description>
+<gates>
+<gate name="G$1" symbol="71256" x="0" y="0"/>
+<gate name="P" symbol="VCCGND" x="-22.86" y="2.54" addlevel="request"/>
+</gates>
+<devices>
+<device name="" package="SO28-3">
+<connects>
+<connect gate="G$1" pin="!CS" pad="20"/>
+<connect gate="G$1" pin="!OE" pad="22"/>
+<connect gate="G$1" pin="!WE" pad="27"/>
+<connect gate="G$1" pin="A0" pad="10"/>
+<connect gate="G$1" pin="A1" pad="9"/>
+<connect gate="G$1" pin="A10" pad="21"/>
+<connect gate="G$1" pin="A11" pad="23"/>
+<connect gate="G$1" pin="A12" pad="2"/>
+<connect gate="G$1" pin="A13" pad="26"/>
+<connect gate="G$1" pin="A14" pad="1"/>
+<connect gate="G$1" pin="A2" pad="8"/>
+<connect gate="G$1" pin="A3" pad="7"/>
+<connect gate="G$1" pin="A4" pad="6"/>
+<connect gate="G$1" pin="A5" pad="5"/>
+<connect gate="G$1" pin="A6" pad="4"/>
+<connect gate="G$1" pin="A7" pad="3"/>
+<connect gate="G$1" pin="A8" pad="25"/>
+<connect gate="G$1" pin="A9" pad="24"/>
+<connect gate="G$1" pin="I/O1" pad="11"/>
+<connect gate="G$1" pin="I/O2" pad="12"/>
+<connect gate="G$1" pin="I/O3" pad="13"/>
+<connect gate="G$1" pin="I/O4" pad="15"/>
+<connect gate="G$1" pin="I/O5" pad="16"/>
+<connect gate="G$1" pin="I/O6" pad="17"/>
+<connect gate="G$1" pin="I/O7" pad="18"/>
+<connect gate="G$1" pin="I/O8" pad="19"/>
+<connect gate="P" pin="GND" pad="14"/>
+<connect gate="P" pin="VCC" pad="28"/>
+</connects>
+<technologies>
+<technology name="">
+<attribute name="MF" value="" constant="no"/>
+<attribute name="MPN" value="IDT71256SA20YG" constant="no"/>
+<attribute name="OC_FARNELL" value="1218010" constant="no"/>
+<attribute name="OC_NEWARK" value="34M6449" constant="no"/>
+</technology>
+</technologies>
+</device>
+</devices>
+</deviceset>
+</devicesets>
+</library>
+<library name="supply1">
+<description>&lt;b&gt;Supply Symbols&lt;/b&gt;&lt;p&gt;
+ GND, VCC, 0V, +5V, -5V, etc.&lt;p&gt;
+ Please keep in mind, that these devices are necessary for the
+ automatic wiring of the supply signals.&lt;p&gt;
+ The pin name defined in the symbol is identical to the net which is to be wired automatically.&lt;p&gt;
+ In this library the device names are the same as the pin names of the symbols, therefore the correct signal names appear next to the supply symbols in the schematic.&lt;p&gt;
+ &lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
+<packages>
+</packages>
+<symbols>
+<symbol name="VCC">
+<wire x1="1.27" y1="-1.905" x2="0" y2="0" width="0.254" layer="94"/>
+<wire x1="0" y1="0" x2="-1.27" y2="-1.905" width="0.254" layer="94"/>
+<text x="-2.54" y="-2.54" size="1.778" layer="96" rot="R90">&gt;VALUE</text>
+<pin name="VCC" x="0" y="-2.54" visible="off" length="short" direction="sup" rot="R90"/>
+</symbol>
+<symbol name="GND">
+<wire x1="-1.905" y1="0" x2="1.905" y2="0" width="0.254" layer="94"/>
+<text x="-2.54" y="-2.54" size="1.778" layer="96">&gt;VALUE</text>
+<pin name="GND" x="0" y="2.54" visible="off" length="short" direction="sup" rot="R270"/>
+</symbol>
+</symbols>
+<devicesets>
+<deviceset name="VCC" prefix="P+">
+<description>&lt;b&gt;SUPPLY SYMBOL&lt;/b&gt;</description>
+<gates>
+<gate name="VCC" symbol="VCC" x="0" y="0"/>
+</gates>
+<devices>
+<device name="">
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+</devices>
+</deviceset>
+<deviceset name="GND" prefix="GND">
+<description>&lt;b&gt;SUPPLY SYMBOL&lt;/b&gt;</description>
+<gates>
+<gate name="1" symbol="GND" x="0" y="0"/>
+</gates>
+<devices>
+<device name="">
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+</devices>
+</deviceset>
+</devicesets>
+</library>
+<library name="con-molex">
+<description>&lt;b&gt;Molex Connectors&lt;/b&gt;&lt;p&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
+<packages>
+<package name="70543-14">
+<description>&lt;b&gt;C-Grid SL Connector&lt;/b&gt;&lt;p&gt;
+ vertical, 14 pin</description>
+<wire x1="19.05" y1="-2.8575" x2="19.05" y2="2.8575" width="0.254" layer="21"/>
+<wire x1="19.05" y1="2.8575" x2="-19.05" y2="2.8575" width="0.254" layer="21"/>
+<wire x1="-19.05" y1="2.8575" x2="-19.05" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="-19.05" y1="-2.8575" x2="-3.4925" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="-3.4925" y1="-2.8575" x2="-3.4925" y2="-3.81" width="0.254" layer="21"/>
+<wire x1="-3.4925" y1="-3.81" x2="3.4925" y2="-3.81" width="0.254" layer="21"/>
+<wire x1="3.4925" y1="-3.81" x2="3.4925" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="3.4925" y1="-2.8575" x2="19.05" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="-18.415" y1="2.2225" x2="-18.415" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="18.415" y1="2.2225" x2="18.415" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="-18.415" y1="2.2225" x2="18.415" y2="2.2225" width="0.0508" layer="51"/>
+<wire x1="-18.415" y1="-2.2225" x2="-2.8575" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="-2.8575" y1="-2.2225" x2="2.8575" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="2.8575" y1="-2.2225" x2="18.415" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="2.8575" y1="-3.175" x2="-2.8575" y2="-3.175" width="0.0508" layer="51"/>
+<wire x1="-2.8575" y1="-2.2225" x2="-2.8575" y2="-3.175" width="0.0508" layer="51"/>
+<wire x1="2.8575" y1="-2.2225" x2="2.8575" y2="-3.175" width="0.0508" layer="51"/>
+<pad name="3" x="-11.43" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="2" x="-13.97" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="1" x="-16.51" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="4" x="-8.89" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="5" x="-6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="6" x="-3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="7" x="-1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="8" x="1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="9" x="3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="10" x="6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="11" x="8.89" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="12" x="11.43" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="13" x="13.97" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="14" x="16.51" y="0" drill="1.016" shape="long" rot="R90"/>
+<text x="-19.685" y="-2.54" size="1.016" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<text x="-18.7325" y="3.4925" size="0.8128" layer="27" ratio="10">&gt;VALUE</text>
+<text x="-18.0975" y="-1.5875" size="1.016" layer="51" ratio="10">1</text>
+<rectangle x1="-14.2081" y1="-0.2381" x2="-13.7319" y2="0.2381" layer="51"/>
+<rectangle x1="-16.7481" y1="-0.2381" x2="-16.2719" y2="0.2381" layer="51"/>
+<rectangle x1="-9.1281" y1="-0.2381" x2="-8.6519" y2="0.2381" layer="51"/>
+<rectangle x1="-11.6681" y1="-0.2381" x2="-11.1919" y2="0.2381" layer="51"/>
+<rectangle x1="-4.0481" y1="-0.2381" x2="-3.5719" y2="0.2381" layer="51"/>
+<rectangle x1="-6.5881" y1="-0.2381" x2="-6.1119" y2="0.2381" layer="51"/>
+<rectangle x1="1.0319" y1="-0.2381" x2="1.5081" y2="0.2381" layer="51"/>
+<rectangle x1="-1.5081" y1="-0.2381" x2="-1.0319" y2="0.2381" layer="51"/>
+<rectangle x1="6.1119" y1="-0.2381" x2="6.5881" y2="0.2381" layer="51"/>
+<rectangle x1="3.5719" y1="-0.2381" x2="4.0481" y2="0.2381" layer="51"/>
+<rectangle x1="11.1919" y1="-0.2381" x2="11.6681" y2="0.2381" layer="51"/>
+<rectangle x1="8.6519" y1="-0.2381" x2="9.1281" y2="0.2381" layer="51"/>
+<rectangle x1="13.7319" y1="-0.2381" x2="14.2081" y2="0.2381" layer="51"/>
+<rectangle x1="16.2719" y1="-0.2381" x2="16.7481" y2="0.2381" layer="51"/>
+</package>
+<package name="70553-14">
+<description>&lt;b&gt;C-Grid SL Connector&lt;/b&gt;&lt;p&gt;
+ right angle, 14 pin</description>
+<wire x1="18.8913" y1="5.8738" x2="4.1275" y2="5.8738" width="0.254" layer="21"/>
+<wire x1="4.1275" y1="5.8738" x2="-18.8913" y2="5.8738" width="0.254" layer="21"/>
+<wire x1="-18.8913" y1="5.8738" x2="-18.8913" y2="-5.715" width="0.254" layer="21"/>
+<wire x1="-18.8913" y1="-5.715" x2="18.8913" y2="-5.715" width="0.254" layer="21"/>
+<wire x1="18.8913" y1="-5.715" x2="18.8913" y2="5.8738" width="0.254" layer="21"/>
+<wire x1="-1.27" y1="2.54" x2="-1.27" y2="-3.175" width="0.254" layer="21"/>
+<wire x1="-1.27" y1="-3.175" x2="1.27" y2="-3.175" width="0.254" layer="21"/>
+<wire x1="1.27" y1="-3.175" x2="1.27" y2="2.54" width="0.254" layer="21"/>
+<wire x1="-4.1275" y1="5.8737" x2="-4.1275" y2="2.54" width="0.254" layer="21"/>
+<wire x1="-4.1275" y1="2.54" x2="-3.175" y2="2.54" width="0.254" layer="21"/>
+<wire x1="-3.175" y1="2.54" x2="-1.27" y2="2.54" width="0.254" layer="21"/>
+<wire x1="1.27" y1="2.54" x2="3.175" y2="2.54" width="0.254" layer="21"/>
+<wire x1="3.175" y1="2.54" x2="4.1275" y2="2.54" width="0.254" layer="21"/>
+<wire x1="4.1275" y1="2.54" x2="4.1275" y2="5.8738" width="0.254" layer="21"/>
+<wire x1="-3.175" y1="2.54" x2="-3.175" y2="3.175" width="0.254" layer="21"/>
+<wire x1="-3.175" y1="3.175" x2="-1.905" y2="4.445" width="0.254" layer="21" curve="-90"/>
+<wire x1="-1.905" y1="4.445" x2="1.905" y2="4.445" width="0.254" layer="21"/>
+<wire x1="1.905" y1="4.445" x2="3.175" y2="3.175" width="0.254" layer="21" curve="-90"/>
+<wire x1="3.175" y1="3.175" x2="3.175" y2="2.54" width="0.254" layer="21"/>
+<wire x1="-17.145" y1="-6.35" x2="-15.875" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="-15.875" y1="-6.35" x2="-14.605" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="-15.875" y1="-6.35" x2="-15.875" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-15.875" y1="-7.6835" x2="-15.621" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="-14.605" y1="-6.35" x2="-14.605" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-14.605" y1="-7.6835" x2="-14.859" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="-14.859" y1="-7.9375" x2="-15.621" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="-14.605" y1="-6.35" x2="-13.335" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="-13.335" y1="-6.35" x2="-12.065" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="-13.335" y1="-6.35" x2="-13.335" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-13.335" y1="-7.6835" x2="-13.081" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="-12.065" y1="-6.35" x2="-12.065" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-12.065" y1="-7.6835" x2="-12.319" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="-12.319" y1="-7.9375" x2="-13.081" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="-12.065" y1="-6.35" x2="-10.795" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="-10.795" y1="-6.35" x2="-9.525" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="-10.795" y1="-6.35" x2="-10.795" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-10.795" y1="-7.6835" x2="-10.541" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="-9.525" y1="-6.35" x2="-9.525" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-9.525" y1="-7.6835" x2="-9.779" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="-9.779" y1="-7.9375" x2="-10.541" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="-9.525" y1="-6.35" x2="-8.255" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="-8.255" y1="-6.35" x2="-6.985" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="-8.255" y1="-6.35" x2="-8.255" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-8.255" y1="-7.6835" x2="-8.001" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="-6.985" y1="-6.35" x2="-6.985" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-6.985" y1="-7.6835" x2="-7.239" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="-7.239" y1="-7.9375" x2="-8.001" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="-6.985" y1="-6.35" x2="-5.715" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="-5.715" y1="-6.35" x2="-4.445" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="-5.715" y1="-6.35" x2="-5.715" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-5.715" y1="-7.6835" x2="-5.461" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="-4.445" y1="-6.35" x2="-4.445" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-4.445" y1="-7.6835" x2="-4.699" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="-4.699" y1="-7.9375" x2="-5.461" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="-4.445" y1="-6.35" x2="-3.175" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="-3.175" y1="-6.35" x2="-1.905" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="-3.175" y1="-6.35" x2="-3.175" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-3.175" y1="-7.6835" x2="-2.921" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="-1.905" y1="-6.35" x2="-1.905" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-1.905" y1="-7.6835" x2="-2.159" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="-2.159" y1="-7.9375" x2="-2.921" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="-1.905" y1="-6.35" x2="-0.635" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="-0.635" y1="-6.35" x2="0.635" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="-0.635" y1="-6.35" x2="-0.635" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-0.635" y1="-7.6835" x2="-0.381" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="0.635" y1="-6.35" x2="0.635" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="0.635" y1="-7.6835" x2="0.381" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="0.381" y1="-7.9375" x2="-0.381" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="0.635" y1="-6.35" x2="1.905" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="1.905" y1="-6.35" x2="3.175" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="1.905" y1="-6.35" x2="1.905" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="1.905" y1="-7.6835" x2="2.159" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="3.175" y1="-6.35" x2="3.175" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="3.175" y1="-7.6835" x2="2.921" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="2.921" y1="-7.9375" x2="2.159" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="3.175" y1="-6.35" x2="4.445" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="4.445" y1="-6.35" x2="5.715" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="4.445" y1="-6.35" x2="4.445" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="4.445" y1="-7.6835" x2="4.699" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="5.715" y1="-6.35" x2="5.715" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="5.715" y1="-7.6835" x2="5.461" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="5.461" y1="-7.9375" x2="4.699" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="5.715" y1="-6.35" x2="6.985" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="6.985" y1="-6.35" x2="8.255" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="6.985" y1="-6.35" x2="6.985" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="6.985" y1="-7.6835" x2="7.239" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="8.255" y1="-6.35" x2="8.255" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="8.255" y1="-7.6835" x2="8.001" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="8.001" y1="-7.9375" x2="7.239" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="15.875" y1="-6.35" x2="17.145" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="-18.0975" y1="-5.715" x2="-18.0975" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="-17.145" y1="-6.35" x2="-17.145" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="-17.145" y1="-7.6835" x2="-17.399" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="-17.399" y1="-7.9375" x2="-18.0975" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="17.145" y1="-6.35" x2="17.145" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="17.145" y1="-7.6835" x2="17.399" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="17.399" y1="-7.9375" x2="18.0975" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="18.0975" y1="-7.9375" x2="18.0975" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="18.0975" y1="-6.35" x2="18.0975" y2="-5.715" width="0.254" layer="51"/>
+<wire x1="17.145" y1="-6.35" x2="18.0975" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="8.255" y1="-6.35" x2="9.525" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="9.525" y1="-6.35" x2="10.795" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="9.525" y1="-6.35" x2="9.525" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="9.525" y1="-7.6835" x2="9.779" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="10.795" y1="-6.35" x2="10.795" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="10.795" y1="-7.6835" x2="10.541" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="10.541" y1="-7.9375" x2="9.779" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="13.335" y1="-6.35" x2="14.605" y2="-6.35" width="0.127" layer="51"/>
+<wire x1="14.605" y1="-6.35" x2="15.875" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="14.605" y1="-6.35" x2="14.605" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="14.605" y1="-7.6835" x2="14.859" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="15.875" y1="-6.35" x2="15.875" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="15.875" y1="-7.6835" x2="15.621" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="15.621" y1="-7.9375" x2="14.859" y2="-7.9375" width="0.254" layer="51"/>
+<wire x1="10.795" y1="-6.35" x2="12.065" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="12.065" y1="-6.35" x2="13.335" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="12.065" y1="-6.35" x2="12.065" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="12.065" y1="-7.6835" x2="12.319" y2="-7.9375" width="0.254" layer="51" curve="90"/>
+<wire x1="13.335" y1="-6.35" x2="13.335" y2="-7.6835" width="0.254" layer="51"/>
+<wire x1="13.335" y1="-7.6835" x2="13.081" y2="-7.9375" width="0.254" layer="51" curve="-90"/>
+<wire x1="13.081" y1="-7.9375" x2="12.319" y2="-7.9375" width="0.254" layer="51"/>
+<pad name="2" x="-13.97" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="1" x="-16.51" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="3" x="-11.43" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="4" x="-8.89" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="5" x="-6.35" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="6" x="-3.81" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="7" x="-1.27" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="8" x="1.27" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="9" x="3.81" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="10" x="6.35" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="11" x="8.89" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="12" x="11.43" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="13" x="13.97" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<pad name="14" x="16.51" y="-7.62" drill="1.0922" shape="long" rot="R90"/>
+<text x="-19.3675" y="-5.715" size="1.016" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<text x="20.6375" y="-5.715" size="0.8128" layer="27" ratio="10" rot="R90">&gt;VALUE</text>
+<rectangle x1="-16.8275" y1="-7.62" x2="-16.1925" y2="-6.35" layer="51"/>
+<rectangle x1="-14.2875" y1="-7.62" x2="-13.6525" y2="-6.35" layer="51"/>
+<rectangle x1="-11.7475" y1="-7.62" x2="-11.1125" y2="-6.35" layer="51"/>
+<rectangle x1="-9.2075" y1="-7.62" x2="-8.5725" y2="-6.35" layer="51"/>
+<rectangle x1="-6.6675" y1="-7.62" x2="-6.0325" y2="-6.35" layer="51"/>
+<rectangle x1="-4.1275" y1="-7.62" x2="-3.4925" y2="-6.35" layer="51"/>
+<rectangle x1="-1.5875" y1="-7.62" x2="-0.9525" y2="-6.35" layer="51"/>
+<rectangle x1="0.9525" y1="-7.62" x2="1.5875" y2="-6.35" layer="51"/>
+<rectangle x1="3.4925" y1="-7.62" x2="4.1275" y2="-6.35" layer="51"/>
+<rectangle x1="6.0325" y1="-7.62" x2="6.6675" y2="-6.35" layer="51"/>
+<rectangle x1="16.1925" y1="-7.62" x2="16.8275" y2="-6.35" layer="51"/>
+<rectangle x1="8.5725" y1="-7.62" x2="9.2075" y2="-6.35" layer="51"/>
+<rectangle x1="11.1125" y1="-7.62" x2="11.7475" y2="-6.35" layer="51"/>
+<rectangle x1="13.6525" y1="-7.62" x2="14.2875" y2="-6.35" layer="51"/>
+<polygon width="0.0508" layer="21">
+<vertex x="-18.415" y="5.8737"/>
+<vertex x="-17.9387" y="3.9688"/>
+<vertex x="-17.4625" y="5.8737"/>
+</polygon>
+</package>
+<package name="74099-14">
+<description>&lt;b&gt;C-Grid SL Connector&lt;/b&gt;&lt;p&gt;
+ vertical SMD, 14 pin</description>
+<wire x1="19.05" y1="-2.8575" x2="19.05" y2="2.8575" width="0.254" layer="21"/>
+<wire x1="19.05" y1="2.8575" x2="-19.05" y2="2.8575" width="0.254" layer="21"/>
+<wire x1="-19.05" y1="2.8575" x2="-19.05" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="-19.05" y1="-2.8575" x2="-3.4925" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="-3.4925" y1="-2.8575" x2="-3.4925" y2="-3.81" width="0.254" layer="21"/>
+<wire x1="-3.4925" y1="-3.81" x2="3.4925" y2="-3.81" width="0.254" layer="21"/>
+<wire x1="3.4925" y1="-3.81" x2="3.4925" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="3.4925" y1="-2.8575" x2="19.05" y2="-2.8575" width="0.254" layer="21"/>
+<wire x1="-18.415" y1="2.2225" x2="-18.415" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="18.415" y1="2.2225" x2="18.415" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="-18.415" y1="2.2225" x2="18.415" y2="2.2225" width="0.0508" layer="51"/>
+<wire x1="-18.415" y1="-2.2225" x2="-2.8575" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="-2.8575" y1="-2.2225" x2="2.8575" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="2.8575" y1="-2.2225" x2="18.415" y2="-2.2225" width="0.0508" layer="51"/>
+<wire x1="2.8575" y1="-3.175" x2="-2.8575" y2="-3.175" width="0.0508" layer="51"/>
+<wire x1="-2.8575" y1="-2.2225" x2="-2.8575" y2="-3.175" width="0.0508" layer="51"/>
+<wire x1="2.8575" y1="-2.2225" x2="2.8575" y2="-3.175" width="0.0508" layer="51"/>
+<smd name="5" x="-6.35" y="-2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="4" x="-8.89" y="2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="3" x="-11.43" y="-2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="2" x="-13.97" y="2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="1" x="-16.51" y="-2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="6" x="-3.81" y="2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="7" x="-1.27" y="-2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="8" x="1.27" y="2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="9" x="3.81" y="-2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="10" x="6.35" y="2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="11" x="8.89" y="-2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="12" x="11.43" y="2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="13" x="13.97" y="-2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<smd name="14" x="16.51" y="2.2225" dx="3.175" dy="1.27" layer="1" rot="R270"/>
+<text x="-19.685" y="-2.54" size="1.016" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<text x="-18.0975" y="0.635" size="0.8128" layer="27" ratio="10">&gt;VALUE</text>
+<text x="-18.0975" y="-1.905" size="1.016" layer="51" ratio="10">1</text>
+<rectangle x1="-11.6681" y1="-0.2381" x2="-11.1919" y2="0.2381" layer="51"/>
+<rectangle x1="-14.2081" y1="-0.2381" x2="-13.7319" y2="0.2381" layer="51"/>
+<rectangle x1="-6.5881" y1="-0.2381" x2="-6.1119" y2="0.2381" layer="51"/>
+<rectangle x1="-9.1281" y1="-0.2381" x2="-8.6519" y2="0.2381" layer="51"/>
+<rectangle x1="-16.7481" y1="-0.2381" x2="-16.2719" y2="0.2381" layer="51"/>
+<rectangle x1="-4.0481" y1="-0.2381" x2="-3.5719" y2="0.2381" layer="51"/>
+<rectangle x1="-1.5081" y1="-0.2381" x2="-1.0319" y2="0.2381" layer="51"/>
+<rectangle x1="1.0319" y1="-0.2381" x2="1.5081" y2="0.2381" layer="51"/>
+<rectangle x1="3.5719" y1="-0.2381" x2="4.0481" y2="0.2381" layer="51"/>
+<rectangle x1="6.1119" y1="-0.2381" x2="6.5881" y2="0.2381" layer="51"/>
+<rectangle x1="8.6519" y1="-0.2381" x2="9.1281" y2="0.2381" layer="51"/>
+<rectangle x1="11.1919" y1="-0.2381" x2="11.6681" y2="0.2381" layer="51"/>
+<rectangle x1="13.7319" y1="-0.2381" x2="14.2081" y2="0.2381" layer="51"/>
+<rectangle x1="16.2719" y1="-0.2381" x2="16.7481" y2="0.2381" layer="51"/>
+</package>
+<package name="15-91-14">
+<description>&lt;b&gt;C-Grid SL Connector&lt;/b&gt;&lt;p&gt;
+ right angle SMD, 14 pin</description>
+<wire x1="18.8913" y1="5.0801" x2="-18.8913" y2="5.0801" width="0.254" layer="21"/>
+<wire x1="-18.8913" y1="-6.35" x2="18.8913" y2="-6.35" width="0.254" layer="21"/>
+<wire x1="-1.27" y1="1.905" x2="-1.27" y2="-3.81" width="0.254" layer="51"/>
+<wire x1="-1.27" y1="-3.81" x2="1.27" y2="-3.81" width="0.254" layer="51"/>
+<wire x1="1.27" y1="-3.81" x2="1.27" y2="1.905" width="0.254" layer="51"/>
+<wire x1="-4.1275" y1="5.08" x2="-4.1275" y2="1.905" width="0.254" layer="51"/>
+<wire x1="-4.1275" y1="1.905" x2="-3.175" y2="1.905" width="0.254" layer="51"/>
+<wire x1="-3.175" y1="1.905" x2="-1.27" y2="1.905" width="0.254" layer="51"/>
+<wire x1="1.27" y1="1.905" x2="3.175" y2="1.905" width="0.254" layer="51"/>
+<wire x1="3.175" y1="1.905" x2="4.1275" y2="1.905" width="0.254" layer="51"/>
+<wire x1="4.1275" y1="1.905" x2="4.1275" y2="5.0801" width="0.254" layer="51"/>
+<wire x1="-3.175" y1="1.905" x2="-3.175" y2="2.54" width="0.254" layer="51"/>
+<wire x1="-3.175" y1="2.54" x2="-1.905" y2="3.81" width="0.254" layer="51" curve="-90"/>
+<wire x1="-1.905" y1="3.81" x2="1.905" y2="3.81" width="0.254" layer="51"/>
+<wire x1="1.905" y1="3.81" x2="3.175" y2="2.54" width="0.254" layer="51" curve="-90"/>
+<wire x1="3.175" y1="2.54" x2="3.175" y2="1.905" width="0.254" layer="51"/>
+<wire x1="-17.78" y1="-6.35" x2="-17.78" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="-17.78" y1="-6.985" x2="-17.145" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-15.875" y1="-6.985" x2="-14.605" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-17.145" y1="-6.985" x2="-17.145" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-17.145" y1="-8.3185" x2="-17.399" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="-17.399" y1="-8.5725" x2="-17.78" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="-15.875" y1="-6.985" x2="-15.875" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-15.875" y1="-8.3185" x2="-15.621" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="-14.605" y1="-6.985" x2="-14.605" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-14.605" y1="-8.3185" x2="-14.859" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="-14.859" y1="-8.5725" x2="-15.621" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="-13.335" y1="-6.985" x2="-13.335" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-13.335" y1="-8.3185" x2="-13.081" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="-13.335" y1="-6.985" x2="-12.065" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-10.795" y1="-6.985" x2="-9.525" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-12.065" y1="-6.985" x2="-12.065" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-12.065" y1="-8.3185" x2="-12.319" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="-12.319" y1="-8.5725" x2="-13.081" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="-10.795" y1="-6.985" x2="-10.795" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-10.795" y1="-8.3185" x2="-10.541" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="-9.525" y1="-6.985" x2="-9.525" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-9.525" y1="-8.3185" x2="-9.779" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="-9.779" y1="-8.5725" x2="-10.541" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="-8.255" y1="-6.985" x2="-8.255" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-8.255" y1="-8.3185" x2="-8.001" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="-8.255" y1="-6.985" x2="-6.985" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-5.715" y1="-6.985" x2="-4.445" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-6.985" y1="-6.985" x2="-6.985" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-6.985" y1="-8.3185" x2="-7.239" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="-7.239" y1="-8.5725" x2="-8.001" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="-5.715" y1="-6.985" x2="-5.715" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-5.715" y1="-8.3185" x2="-5.461" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="-4.445" y1="-6.985" x2="-4.445" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-4.445" y1="-8.3185" x2="-4.699" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="-4.699" y1="-8.5725" x2="-5.461" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="-3.175" y1="-6.985" x2="-3.175" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-3.175" y1="-8.3185" x2="-2.921" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="-3.175" y1="-6.985" x2="-1.905" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-0.635" y1="-6.985" x2="0.635" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-1.905" y1="-6.985" x2="-1.905" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-1.905" y1="-8.3185" x2="-2.159" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="-2.159" y1="-8.5725" x2="-2.921" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="-0.635" y1="-6.985" x2="-0.635" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="-0.635" y1="-8.3185" x2="-0.381" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="0.635" y1="-6.985" x2="0.635" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="0.635" y1="-8.3185" x2="0.381" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="0.381" y1="-8.5725" x2="-0.381" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="1.905" y1="-6.985" x2="1.905" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="1.905" y1="-8.3185" x2="2.159" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="1.905" y1="-6.985" x2="3.175" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="4.445" y1="-6.985" x2="5.715" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="3.175" y1="-6.985" x2="3.175" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="3.175" y1="-8.3185" x2="2.921" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="2.921" y1="-8.5725" x2="2.159" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="4.445" y1="-6.985" x2="4.445" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="4.445" y1="-8.3185" x2="4.699" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="5.715" y1="-6.985" x2="5.715" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="5.715" y1="-8.3185" x2="5.461" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="5.461" y1="-8.5725" x2="4.699" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="6.985" y1="-6.985" x2="6.985" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="6.985" y1="-8.3185" x2="7.239" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="17.78" y1="-8.5725" x2="17.78" y2="-6.35" width="0.254" layer="51"/>
+<wire x1="6.985" y1="-6.985" x2="8.255" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="9.525" y1="-6.985" x2="10.795" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="17.145" y1="-6.985" x2="17.78" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="8.255" y1="-6.985" x2="8.255" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="8.255" y1="-8.3185" x2="8.001" y2="-8.5725" width="0.254" layer="51" curve="-90"/>
+<wire x1="8.001" y1="-8.5725" x2="7.239" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="17.145" y1="-6.985" x2="17.145" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="17.145" y1="-8.3185" x2="17.399" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="17.399" y1="-8.5725" x2="17.78" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="-17.145" y1="-6.985" x2="-15.875" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-14.605" y1="-6.985" x2="-13.335" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-12.065" y1="-6.985" x2="-10.795" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-9.525" y1="-6.985" x2="-8.255" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-6.985" y1="-6.985" x2="-5.715" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-4.445" y1="-6.985" x2="-3.175" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-1.905" y1="-6.985" x2="-0.635" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="0.635" y1="-6.985" x2="1.905" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="3.175" y1="-6.985" x2="4.445" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="5.715" y1="-6.985" x2="6.985" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="8.255" y1="-6.985" x2="9.525" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="10.795" y1="-6.985" x2="12.065" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="12.065" y1="-6.985" x2="13.335" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="13.335" y1="-6.985" x2="14.605" y2="-6.985" width="0.2032" layer="51"/>
+<wire x1="14.605" y1="-6.985" x2="15.875" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="15.875" y1="-6.985" x2="17.145" y2="-6.985" width="0.2032" layer="51"/>
+<wire x1="9.525" y1="-6.985" x2="9.525" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="9.525" y1="-8.3185" x2="9.779" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="9.779" y1="-8.5725" x2="10.541" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="10.541" y1="-8.5725" x2="10.795" y2="-8.3185" width="0.254" layer="51" curve="90"/>
+<wire x1="10.795" y1="-8.3185" x2="10.795" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="12.065" y1="-6.985" x2="12.065" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="12.065" y1="-8.3185" x2="12.319" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="12.319" y1="-8.5725" x2="13.081" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="13.081" y1="-8.5725" x2="13.335" y2="-8.3185" width="0.254" layer="51" curve="90"/>
+<wire x1="13.335" y1="-8.3185" x2="13.335" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="14.605" y1="-6.985" x2="14.605" y2="-8.3185" width="0.254" layer="51"/>
+<wire x1="14.605" y1="-8.3185" x2="14.859" y2="-8.5725" width="0.254" layer="51" curve="90"/>
+<wire x1="14.859" y1="-8.5725" x2="15.621" y2="-8.5725" width="0.254" layer="51"/>
+<wire x1="15.621" y1="-8.5725" x2="15.875" y2="-8.3185" width="0.254" layer="51" curve="90"/>
+<wire x1="15.875" y1="-8.3185" x2="15.875" y2="-6.985" width="0.254" layer="51"/>
+<wire x1="-18.8913" y1="5.0801" x2="-18.8913" y2="2.54" width="0.254" layer="21"/>
+<wire x1="-18.8913" y1="-0.9525" x2="-18.8913" y2="-6.35" width="0.254" layer="21"/>
+<wire x1="18.8913" y1="-6.35" x2="18.8913" y2="-0.9525" width="0.254" layer="21"/>
+<wire x1="18.8913" y1="2.54" x2="18.8913" y2="5.0801" width="0.254" layer="21"/>
+<wire x1="-18.8913" y1="2.54" x2="-18.8913" y2="-0.9525" width="0.254" layer="51"/>
+<wire x1="18.8913" y1="2.54" x2="18.8913" y2="-0.9525" width="0.254" layer="51"/>
+<smd name="1" x="-16.51" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="2" x="-13.97" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="3" x="-11.43" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="4" x="-8.89" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="5" x="-6.35" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="6" x="-3.81" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="7" x="-1.27" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="8" x="1.27" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="9" x="3.81" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="10" x="6.35" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="11" x="8.89" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="12" x="11.43" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="13" x="13.97" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<smd name="14" x="16.51" y="-10.795" dx="5.334" dy="1.651" layer="1" rot="R90"/>
+<text x="-19.3675" y="-6.35" size="1.016" layer="25" ratio="10" rot="R90">&gt;NAME</text>
+<text x="20.6375" y="-6.35" size="0.8128" layer="27" ratio="10" rot="R90">&gt;VALUE</text>
+<rectangle x1="-16.8275" y1="-10.795" x2="-16.1925" y2="-6.985" layer="51"/>
+<rectangle x1="-14.2875" y1="-10.795" x2="-13.6525" y2="-6.985" layer="51"/>
+<rectangle x1="-11.7475" y1="-10.795" x2="-11.1125" y2="-6.985" layer="51"/>
+<rectangle x1="-9.2075" y1="-10.795" x2="-8.5725" y2="-6.985" layer="51"/>
+<rectangle x1="-6.6675" y1="-10.795" x2="-6.0325" y2="-6.985" layer="51"/>
+<rectangle x1="-4.1275" y1="-10.795" x2="-3.4925" y2="-6.985" layer="51"/>
+<rectangle x1="-1.5875" y1="-10.795" x2="-0.9525" y2="-6.985" layer="51"/>
+<rectangle x1="0.9525" y1="-10.795" x2="1.5875" y2="-6.985" layer="51"/>
+<rectangle x1="3.4925" y1="-10.795" x2="4.1275" y2="-6.985" layer="51"/>
+<rectangle x1="6.0325" y1="-10.795" x2="6.6675" y2="-6.985" layer="51"/>
+<rectangle x1="8.5725" y1="-10.795" x2="9.2075" y2="-6.985" layer="51"/>
+<rectangle x1="11.1125" y1="-10.795" x2="11.7475" y2="-6.985" layer="51"/>
+<rectangle x1="13.6525" y1="-10.795" x2="14.2875" y2="-6.985" layer="51"/>
+<rectangle x1="16.1925" y1="-10.795" x2="16.8275" y2="-6.985" layer="51"/>
+<hole x="-17.907" y="0.8382" drill="3.4036"/>
+<hole x="17.907" y="0.8382" drill="3.4036"/>
+<polygon width="0.2032" layer="21">
+<vertex x="-18.415" y="5.08"/>
+<vertex x="-17.9387" y="3.1751"/>
+<vertex x="-17.4625" y="5.08"/>
+</polygon>
+</package>
+</packages>
+<symbols>
+<symbol name="MV">
+<wire x1="1.27" y1="0" x2="0" y2="0" width="0.6096" layer="94"/>
+<text x="2.54" y="-0.762" size="1.524" layer="95">&gt;NAME</text>
+<text x="-0.762" y="1.397" size="1.778" layer="96">&gt;VALUE</text>
+<pin name="S" x="-2.54" y="0" visible="off" length="short" direction="pas"/>
+</symbol>
+<symbol name="M">
+<wire x1="1.27" y1="0" x2="0" y2="0" width="0.6096" layer="94"/>
+<text x="2.54" y="-0.762" size="1.524" layer="95">&gt;NAME</text>
+<pin name="S" x="-2.54" y="0" visible="off" length="short" direction="pas"/>
+</symbol>
+</symbols>
+<devicesets>
+<deviceset name="C-GRID-14" prefix="X">
+<description>&lt;b&gt;CONNECTOR&lt;/b&gt;&lt;p&gt;
+wire to board 2.54 mm (0.100") pitch header</description>
+<gates>
+<gate name="-1" symbol="MV" x="2.54" y="0" addlevel="always" swaplevel="1"/>
+<gate name="-2" symbol="M" x="2.54" y="-2.54" addlevel="always" swaplevel="1"/>
+<gate name="-3" symbol="M" x="2.54" y="-5.08" addlevel="always" swaplevel="1"/>
+<gate name="-4" symbol="M" x="2.54" y="-7.62" addlevel="always" swaplevel="1"/>
+<gate name="-5" symbol="M" x="2.54" y="-10.16" addlevel="always" swaplevel="1"/>
+<gate name="-6" symbol="M" x="2.54" y="-12.7" addlevel="always" swaplevel="1"/>
+<gate name="-7" symbol="M" x="2.54" y="-15.24" addlevel="always" swaplevel="1"/>
+<gate name="-8" symbol="M" x="2.54" y="-17.78" addlevel="always" swaplevel="1"/>
+<gate name="-9" symbol="M" x="2.54" y="-20.32" addlevel="always" swaplevel="1"/>
+<gate name="-10" symbol="M" x="2.54" y="-22.86" addlevel="always" swaplevel="1"/>
+<gate name="-11" symbol="M" x="2.54" y="-25.4" addlevel="always" swaplevel="1"/>
+<gate name="-12" symbol="M" x="2.54" y="-27.94" addlevel="always" swaplevel="1"/>
+<gate name="-13" symbol="M" x="2.54" y="-30.48" addlevel="always" swaplevel="1"/>
+<gate name="-14" symbol="M" x="2.54" y="-33.02" addlevel="always" swaplevel="1"/>
+</gates>
+<devices>
+<device name="-70543" package="70543-14">
+<connects>
+<connect gate="-1" pin="S" pad="1"/>
+<connect gate="-10" pin="S" pad="10"/>
+<connect gate="-11" pin="S" pad="11"/>
+<connect gate="-12" pin="S" pad="12"/>
+<connect gate="-13" pin="S" pad="13"/>
+<connect gate="-14" pin="S" pad="14"/>
+<connect gate="-2" pin="S" pad="2"/>
+<connect gate="-3" pin="S" pad="3"/>
+<connect gate="-4" pin="S" pad="4"/>
+<connect gate="-5" pin="S" pad="5"/>
+<connect gate="-6" pin="S" pad="6"/>
+<connect gate="-7" pin="S" pad="7"/>
+<connect gate="-8" pin="S" pad="8"/>
+<connect gate="-9" pin="S" pad="9"/>
+</connects>
+<technologies>
+<technology name="">
+<attribute name="MF" value="" constant="no"/>
+<attribute name="MPN" value="" constant="no"/>
+<attribute name="OC_FARNELL" value="unknown" constant="no"/>
+<attribute name="OC_NEWARK" value="unknown" constant="no"/>
+</technology>
+</technologies>
+</device>
+<device name="-70553" package="70553-14">
+<connects>
+<connect gate="-1" pin="S" pad="1"/>
+<connect gate="-10" pin="S" pad="10"/>
+<connect gate="-11" pin="S" pad="11"/>
+<connect gate="-12" pin="S" pad="12"/>
+<connect gate="-13" pin="S" pad="13"/>
+<connect gate="-14" pin="S" pad="14"/>
+<connect gate="-2" pin="S" pad="2"/>
+<connect gate="-3" pin="S" pad="3"/>
+<connect gate="-4" pin="S" pad="4"/>
+<connect gate="-5" pin="S" pad="5"/>
+<connect gate="-6" pin="S" pad="6"/>
+<connect gate="-7" pin="S" pad="7"/>
+<connect gate="-8" pin="S" pad="8"/>
+<connect gate="-9" pin="S" pad="9"/>
+</connects>
+<technologies>
+<technology name="">
+<attribute name="MF" value="" constant="no"/>
+<attribute name="MPN" value="" constant="no"/>
+<attribute name="OC_FARNELL" value="unknown" constant="no"/>
+<attribute name="OC_NEWARK" value="unknown" constant="no"/>
+</technology>
+</technologies>
+</device>
+<device name="-74099" package="74099-14">
+<connects>
+<connect gate="-1" pin="S" pad="1"/>
+<connect gate="-10" pin="S" pad="10"/>
+<connect gate="-11" pin="S" pad="11"/>
+<connect gate="-12" pin="S" pad="12"/>
+<connect gate="-13" pin="S" pad="13"/>
+<connect gate="-14" pin="S" pad="14"/>
+<connect gate="-2" pin="S" pad="2"/>
+<connect gate="-3" pin="S" pad="3"/>
+<connect gate="-4" pin="S" pad="4"/>
+<connect gate="-5" pin="S" pad="5"/>
+<connect gate="-6" pin="S" pad="6"/>
+<connect gate="-7" pin="S" pad="7"/>
+<connect gate="-8" pin="S" pad="8"/>
+<connect gate="-9" pin="S" pad="9"/>
+</connects>
+<technologies>
+<technology name="">
+<attribute name="MF" value="" constant="no"/>
+<attribute name="MPN" value="" constant="no"/>
+<attribute name="OC_FARNELL" value="unknown" constant="no"/>
+<attribute name="OC_NEWARK" value="unknown" constant="no"/>
+</technology>
+</technologies>
+</device>
+<device name="-15-91" package="15-91-14">
+<connects>
+<connect gate="-1" pin="S" pad="1"/>
+<connect gate="-10" pin="S" pad="10"/>
+<connect gate="-11" pin="S" pad="11"/>
+<connect gate="-12" pin="S" pad="12"/>
+<connect gate="-13" pin="S" pad="13"/>
+<connect gate="-14" pin="S" pad="14"/>
+<connect gate="-2" pin="S" pad="2"/>
+<connect gate="-3" pin="S" pad="3"/>
+<connect gate="-4" pin="S" pad="4"/>
+<connect gate="-5" pin="S" pad="5"/>
+<connect gate="-6" pin="S" pad="6"/>
+<connect gate="-7" pin="S" pad="7"/>
+<connect gate="-8" pin="S" pad="8"/>
+<connect gate="-9" pin="S" pad="9"/>
+</connects>
+<technologies>
+<technology name="">
+<attribute name="MF" value="" constant="no"/>
+<attribute name="MPN" value="" constant="no"/>
+<attribute name="OC_FARNELL" value="unknown" constant="no"/>
+<attribute name="OC_NEWARK" value="unknown" constant="no"/>
+</technology>
+</technologies>
+</device>
+</devices>
+</deviceset>
+</devicesets>
+</library>
+<library name="resistor">
+<description>&lt;b&gt;Resistors, Capacitors, Inductors&lt;/b&gt;&lt;p&gt;
+Based on the previous libraries:
+&lt;ul&gt;
+&lt;li&gt;r.lbr
+&lt;li&gt;cap.lbr
+&lt;li&gt;cap-fe.lbr
+&lt;li&gt;captant.lbr
+&lt;li&gt;polcap.lbr
+&lt;li&gt;ipc-smd.lbr
+&lt;/ul&gt;
+All SMD packages are defined according to the IPC specifications and CECC&lt;p&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;&lt;p&gt;
+&lt;p&gt;
+for Electrolyt Capacitors see also :&lt;p&gt;
+www.bccomponents.com &lt;p&gt;
+www.panasonic.com&lt;p&gt;
+www.kemet.com&lt;p&gt;
+&lt;p&gt;
+for trimmer refence see : &lt;u&gt;www.electrospec-inc.com/cross_references/trimpotcrossref.asp&lt;/u&gt;&lt;p&gt;
+
+&lt;map name="nav_main"&gt;
+&lt;area shape="rect" coords="0,1,140,23" href="../military_specs.asp" title=""&gt;
+&lt;area shape="rect" coords="0,24,140,51" href="../about.asp" title=""&gt;
+&lt;area shape="rect" coords="1,52,140,77" href="../rfq.asp" title=""&gt;
+&lt;area shape="rect" coords="0,78,139,103" href="../products.asp" title=""&gt;
+&lt;area shape="rect" coords="1,102,138,128" href="../excess_inventory.asp" title=""&gt;
+&lt;area shape="rect" coords="1,129,138,150" href="../edge.asp" title=""&gt;
+&lt;area shape="rect" coords="1,151,139,178" href="../industry_links.asp" title=""&gt;
+&lt;area shape="rect" coords="0,179,139,201" href="../comments.asp" title=""&gt;
+&lt;area shape="rect" coords="1,203,138,231" href="../directory.asp" title=""&gt;
+&lt;area shape="default" nohref&gt;
+&lt;/map&gt;
+
+&lt;html&gt;
+
+&lt;title&gt;&lt;/title&gt;
+
+ &lt;LINK REL="StyleSheet" TYPE="text/css" HREF="style-sheet.css"&gt;
+
+&lt;body bgcolor="#ffffff" text="#000000" marginwidth="0" marginheight="0" topmargin="0" leftmargin="0"&gt;
+&lt;table border=0 cellspacing=0 cellpadding=0 width="100%" cellpaddding=0 height="55%"&gt;
+&lt;tr valign="top"&gt;
+
+&lt;/td&gt;
+&lt;! &lt;td width="10"&gt;&amp;nbsp;&lt;/td&gt;
+&lt;td width="90%"&gt;
+
+&lt;b&gt;&lt;font color="#0000FF" size="4"&gt;TRIM-POT CROSS REFERENCE&lt;/font&gt;&lt;/b&gt;
+&lt;P&gt;
+&lt;TABLE BORDER=0 CELLSPACING=1 CELLPADDING=2&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;RECTANGULAR MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;BOURNS&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;BI&amp;nbsp;TECH&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;DALE-VISHAY&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;PHILIPS/MEPCO&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;MURATA&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;PANASONIC&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;SPECTROL&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;B&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL color="#FF0000"&gt;MILSPEC&lt;/FONT&gt;
+ &lt;/B&gt;
+ &lt;/TD&gt;&lt;TD&gt;&amp;nbsp;&lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3 &gt;
+ 3005P&lt;BR&gt;
+ 3006P&lt;BR&gt;
+ 3006W&lt;BR&gt;
+ 3006Y&lt;BR&gt;
+ 3009P&lt;BR&gt;
+ 3009W&lt;BR&gt;
+ 3009Y&lt;BR&gt;
+ 3057J&lt;BR&gt;
+ 3057L&lt;BR&gt;
+ 3057P&lt;BR&gt;
+ 3057Y&lt;BR&gt;
+ 3059J&lt;BR&gt;
+ 3059L&lt;BR&gt;
+ 3059P&lt;BR&gt;
+ 3059Y&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 89P&lt;BR&gt;
+ 89W&lt;BR&gt;
+ 89X&lt;BR&gt;
+ 89PH&lt;BR&gt;
+ 76P&lt;BR&gt;
+ 89XH&lt;BR&gt;
+ 78SLT&lt;BR&gt;
+ 78L&amp;nbsp;ALT&lt;BR&gt;
+ 56P&amp;nbsp;ALT&lt;BR&gt;
+ 78P&amp;nbsp;ALT&lt;BR&gt;
+ T8S&lt;BR&gt;
+ 78L&lt;BR&gt;
+ 56P&lt;BR&gt;
+ 78P&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ T18/784&lt;BR&gt;
+ 783&lt;BR&gt;
+ 781&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 2199&lt;BR&gt;
+ 1697/1897&lt;BR&gt;
+ 1680/1880&lt;BR&gt;
+ 2187&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 8035EKP/CT20/RJ-20P&lt;BR&gt;
+ -&lt;BR&gt;
+ RJ-20X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 1211L&lt;BR&gt;
+ 8012EKQ&amp;nbsp;ALT&lt;BR&gt;
+ 8012EKR&amp;nbsp;ALT&lt;BR&gt;
+ 1211P&lt;BR&gt;
+ 8012EKJ&lt;BR&gt;
+ 8012EKL&lt;BR&gt;
+ 8012EKQ&lt;BR&gt;
+ 8012EKR&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 2101P&lt;BR&gt;
+ 2101W&lt;BR&gt;
+ 2101Y&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 2102L&lt;BR&gt;
+ 2102S&lt;BR&gt;
+ 2102Y&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ EVMCOG&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 43P&lt;BR&gt;
+ 43W&lt;BR&gt;
+ 43Y&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 40L&lt;BR&gt;
+ 40P&lt;BR&gt;
+ 40Y&lt;BR&gt;
+ 70Y-T602&lt;BR&gt;
+ 70L&lt;BR&gt;
+ 70P&lt;BR&gt;
+ 70Y&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ RT/RTR12&lt;BR&gt;
+ RT/RTR12&lt;BR&gt;
+ RT/RTR12&lt;BR&gt;
+ -&lt;BR&gt;
+ RJ/RJR12&lt;BR&gt;
+ RJ/RJR12&lt;BR&gt;
+ RJ/RJR12&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;&amp;nbsp;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;
+ &lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SQUARE MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MURATA&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;SPECTROL&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MILSPEC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 3250L&lt;BR&gt;
+ 3250P&lt;BR&gt;
+ 3250W&lt;BR&gt;
+ 3250X&lt;BR&gt;
+ 3252P&lt;BR&gt;
+ 3252W&lt;BR&gt;
+ 3252X&lt;BR&gt;
+ 3260P&lt;BR&gt;
+ 3260W&lt;BR&gt;
+ 3260X&lt;BR&gt;
+ 3262P&lt;BR&gt;
+ 3262W&lt;BR&gt;
+ 3262X&lt;BR&gt;
+ 3266P&lt;BR&gt;
+ 3266W&lt;BR&gt;
+ 3266X&lt;BR&gt;
+ 3290H&lt;BR&gt;
+ 3290P&lt;BR&gt;
+ 3290W&lt;BR&gt;
+ 3292P&lt;BR&gt;
+ 3292W&lt;BR&gt;
+ 3292X&lt;BR&gt;
+ 3296P&lt;BR&gt;
+ 3296W&lt;BR&gt;
+ 3296X&lt;BR&gt;
+ 3296Y&lt;BR&gt;
+ 3296Z&lt;BR&gt;
+ 3299P&lt;BR&gt;
+ 3299W&lt;BR&gt;
+ 3299X&lt;BR&gt;
+ 3299Y&lt;BR&gt;
+ 3299Z&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ 66P&amp;nbsp;ALT&lt;BR&gt;
+ 66W&amp;nbsp;ALT&lt;BR&gt;
+ 66X&amp;nbsp;ALT&lt;BR&gt;
+ 66P&amp;nbsp;ALT&lt;BR&gt;
+ 66W&amp;nbsp;ALT&lt;BR&gt;
+ 66X&amp;nbsp;ALT&lt;BR&gt;
+ -&lt;BR&gt;
+ 64W&amp;nbsp;ALT&lt;BR&gt;
+ -&lt;BR&gt;
+ 64P&amp;nbsp;ALT&lt;BR&gt;
+ 64W&amp;nbsp;ALT&lt;BR&gt;
+ 64X&amp;nbsp;ALT&lt;BR&gt;
+ 64P&lt;BR&gt;
+ 64W&lt;BR&gt;
+ 64X&lt;BR&gt;
+ 66X&amp;nbsp;ALT&lt;BR&gt;
+ 66P&amp;nbsp;ALT&lt;BR&gt;
+ 66W&amp;nbsp;ALT&lt;BR&gt;
+ 66P&lt;BR&gt;
+ 66W&lt;BR&gt;
+ 66X&lt;BR&gt;
+ 67P&lt;BR&gt;
+ 67W&lt;BR&gt;
+ 67X&lt;BR&gt;
+ 67Y&lt;BR&gt;
+ 67Z&lt;BR&gt;
+ 68P&lt;BR&gt;
+ 68W&lt;BR&gt;
+ 68X&lt;BR&gt;
+ 67Y&amp;nbsp;ALT&lt;BR&gt;
+ 67Z&amp;nbsp;ALT&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 5050&lt;BR&gt;
+ 5091&lt;BR&gt;
+ 5080&lt;BR&gt;
+ 5087&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ T63YB&lt;BR&gt;
+ T63XB&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 5887&lt;BR&gt;
+ 5891&lt;BR&gt;
+ 5880&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ T93Z&lt;BR&gt;
+ T93YA&lt;BR&gt;
+ T93XA&lt;BR&gt;
+ T93YB&lt;BR&gt;
+ T93XB&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 8026EKP&lt;BR&gt;
+ 8026EKW&lt;BR&gt;
+ 8026EKM&lt;BR&gt;
+ 8026EKP&lt;BR&gt;
+ 8026EKB&lt;BR&gt;
+ 8026EKM&lt;BR&gt;
+ 1309X&lt;BR&gt;
+ 1309P&lt;BR&gt;
+ 1309W&lt;BR&gt;
+ 8024EKP&lt;BR&gt;
+ 8024EKW&lt;BR&gt;
+ 8024EKN&lt;BR&gt;
+ RJ-9P/CT9P&lt;BR&gt;
+ RJ-9W&lt;BR&gt;
+ RJ-9X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 3103P&lt;BR&gt;
+ 3103Y&lt;BR&gt;
+ 3103Z&lt;BR&gt;
+ 3103P&lt;BR&gt;
+ 3103Y&lt;BR&gt;
+ 3103Z&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 3105P/3106P&lt;BR&gt;
+ 3105W/3106W&lt;BR&gt;
+ 3105X/3106X&lt;BR&gt;
+ 3105Y/3106Y&lt;BR&gt;
+ 3105Z/3105Z&lt;BR&gt;
+ 3102P&lt;BR&gt;
+ 3102W&lt;BR&gt;
+ 3102X&lt;BR&gt;
+ 3102Y&lt;BR&gt;
+ 3102Z&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMCBG&lt;BR&gt;
+ EVMCCG&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 55-1-X&lt;BR&gt;
+ 55-4-X&lt;BR&gt;
+ 55-3-X&lt;BR&gt;
+ 55-2-X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 50-2-X&lt;BR&gt;
+ 50-4-X&lt;BR&gt;
+ 50-3-X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 64P&lt;BR&gt;
+ 64W&lt;BR&gt;
+ 64X&lt;BR&gt;
+ 64Y&lt;BR&gt;
+ 64Z&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ RT/RTR22&lt;BR&gt;
+ RT/RTR22&lt;BR&gt;
+ RT/RTR22&lt;BR&gt;
+ RT/RTR22&lt;BR&gt;
+ RJ/RJR22&lt;BR&gt;
+ RJ/RJR22&lt;BR&gt;
+ RJ/RJR22&lt;BR&gt;
+ RT/RTR26&lt;BR&gt;
+ RT/RTR26&lt;BR&gt;
+ RT/RTR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RJ/RJR26&lt;BR&gt;
+ RT/RTR24&lt;BR&gt;
+ RT/RTR24&lt;BR&gt;
+ RT/RTR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ RJ/RJR24&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;&amp;nbsp;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=8&gt;
+ &lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SINGLE TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MURATA&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;SPECTROL&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD ALIGN=CENTER&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;MILSPEC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 3323P&lt;BR&gt;
+ 3323S&lt;BR&gt;
+ 3323W&lt;BR&gt;
+ 3329H&lt;BR&gt;
+ 3329P&lt;BR&gt;
+ 3329W&lt;BR&gt;
+ 3339H&lt;BR&gt;
+ 3339P&lt;BR&gt;
+ 3339W&lt;BR&gt;
+ 3352E&lt;BR&gt;
+ 3352H&lt;BR&gt;
+ 3352K&lt;BR&gt;
+ 3352P&lt;BR&gt;
+ 3352T&lt;BR&gt;
+ 3352V&lt;BR&gt;
+ 3352W&lt;BR&gt;
+ 3362H&lt;BR&gt;
+ 3362M&lt;BR&gt;
+ 3362P&lt;BR&gt;
+ 3362R&lt;BR&gt;
+ 3362S&lt;BR&gt;
+ 3362U&lt;BR&gt;
+ 3362W&lt;BR&gt;
+ 3362X&lt;BR&gt;
+ 3386B&lt;BR&gt;
+ 3386C&lt;BR&gt;
+ 3386F&lt;BR&gt;
+ 3386H&lt;BR&gt;
+ 3386K&lt;BR&gt;
+ 3386M&lt;BR&gt;
+ 3386P&lt;BR&gt;
+ 3386S&lt;BR&gt;
+ 3386W&lt;BR&gt;
+ 3386X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 25P&lt;BR&gt;
+ 25S&lt;BR&gt;
+ 25RX&lt;BR&gt;
+ 82P&lt;BR&gt;
+ 82M&lt;BR&gt;
+ 82PA&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 91E&lt;BR&gt;
+ 91X&lt;BR&gt;
+ 91T&lt;BR&gt;
+ 91B&lt;BR&gt;
+ 91A&lt;BR&gt;
+ 91V&lt;BR&gt;
+ 91W&lt;BR&gt;
+ 25W&lt;BR&gt;
+ 25V&lt;BR&gt;
+ 25P&lt;BR&gt;
+ -&lt;BR&gt;
+ 25S&lt;BR&gt;
+ 25U&lt;BR&gt;
+ 25RX&lt;BR&gt;
+ 25X&lt;BR&gt;
+ 72XW&lt;BR&gt;
+ 72XL&lt;BR&gt;
+ 72PM&lt;BR&gt;
+ 72RX&lt;BR&gt;
+ -&lt;BR&gt;
+ 72PX&lt;BR&gt;
+ 72P&lt;BR&gt;
+ 72RXW&lt;BR&gt;
+ 72RXL&lt;BR&gt;
+ 72X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ T7YB&lt;BR&gt;
+ T7YA&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ TXD&lt;BR&gt;
+ TYA&lt;BR&gt;
+ TYP&lt;BR&gt;
+ -&lt;BR&gt;
+ TYD&lt;BR&gt;
+ TX&lt;BR&gt;
+ -&lt;BR&gt;
+ 150SX&lt;BR&gt;
+ 100SX&lt;BR&gt;
+ 102T&lt;BR&gt;
+ 101S&lt;BR&gt;
+ 190T&lt;BR&gt;
+ 150TX&lt;BR&gt;
+ 101&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 101SX&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ ET6P&lt;BR&gt;
+ ET6S&lt;BR&gt;
+ ET6X&lt;BR&gt;
+ RJ-6W/8014EMW&lt;BR&gt;
+ RJ-6P/8014EMP&lt;BR&gt;
+ RJ-6X/8014EMX&lt;BR&gt;
+ TM7W&lt;BR&gt;
+ TM7P&lt;BR&gt;
+ TM7X&lt;BR&gt;
+ -&lt;BR&gt;
+ 8017SMS&lt;BR&gt;
+ -&lt;BR&gt;
+ 8017SMB&lt;BR&gt;
+ 8017SMA&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ CT-6W&lt;BR&gt;
+ CT-6H&lt;BR&gt;
+ CT-6P&lt;BR&gt;
+ CT-6R&lt;BR&gt;
+ -&lt;BR&gt;
+ CT-6V&lt;BR&gt;
+ CT-6X&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 8038EKV&lt;BR&gt;
+ -&lt;BR&gt;
+ 8038EKX&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 8038EKP&lt;BR&gt;
+ 8038EKZ&lt;BR&gt;
+ 8038EKW&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 3321H&lt;BR&gt;
+ 3321P&lt;BR&gt;
+ 3321N&lt;BR&gt;
+ 1102H&lt;BR&gt;
+ 1102P&lt;BR&gt;
+ 1102T&lt;BR&gt;
+ RVA0911V304A&lt;BR&gt;
+ -&lt;BR&gt;
+ RVA0911H413A&lt;BR&gt;
+ RVG0707V100A&lt;BR&gt;
+ RVA0607V(H)306A&lt;BR&gt;
+ RVA1214H213A&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 3104B&lt;BR&gt;
+ 3104C&lt;BR&gt;
+ 3104F&lt;BR&gt;
+ 3104H&lt;BR&gt;
+ -&lt;BR&gt;
+ 3104M&lt;BR&gt;
+ 3104P&lt;BR&gt;
+ 3104S&lt;BR&gt;
+ 3104W&lt;BR&gt;
+ 3104X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ EVMQ0G&lt;BR&gt;
+ EVMQIG&lt;BR&gt;
+ EVMQ3G&lt;BR&gt;
+ EVMS0G&lt;BR&gt;
+ EVMQ0G&lt;BR&gt;
+ EVMG0G&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMK4GA00B&lt;BR&gt;
+ EVM30GA00B&lt;BR&gt;
+ EVMK0GA00B&lt;BR&gt;
+ EVM38GA00B&lt;BR&gt;
+ EVMB6&lt;BR&gt;
+ EVLQ0&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMMSG&lt;BR&gt;
+ EVMMBG&lt;BR&gt;
+ EVMMAG&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMMCS&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMM1&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMM0&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ EVMM3&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 62-3-1&lt;BR&gt;
+ 62-1-2&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 67R&lt;BR&gt;
+ -&lt;BR&gt;
+ 67P&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 67X&lt;BR&gt;
+ 63V&lt;BR&gt;
+ 63S&lt;BR&gt;
+ 63M&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 63H&lt;BR&gt;
+ 63P&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ 63X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ RJ/RJR50&lt;BR&gt;
+ RJ/RJR50&lt;BR&gt;
+ RJ/RJR50&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+&lt;/TABLE&gt;
+&lt;P&gt;&amp;nbsp;&lt;P&gt;
+&lt;TABLE BORDER=0 CELLSPACING=1 CELLPADDING=3&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=7&gt;
+ &lt;FONT color="#0000FF" SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SMD TRIM-POT CROSS REFERENCE&lt;/B&gt;&lt;/FONT&gt;
+ &lt;P&gt;
+ &lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;MULTI-TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURNS&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;TOCOS&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;AUX/KYOCERA&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 3224G&lt;BR&gt;
+ 3224J&lt;BR&gt;
+ 3224W&lt;BR&gt;
+ 3269P&lt;BR&gt;
+ 3269W&lt;BR&gt;
+ 3269X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 44G&lt;BR&gt;
+ 44J&lt;BR&gt;
+ 44W&lt;BR&gt;
+ 84P&lt;BR&gt;
+ 84W&lt;BR&gt;
+ 84X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ ST63Z&lt;BR&gt;
+ ST63Y&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ ST5P&lt;BR&gt;
+ ST5W&lt;BR&gt;
+ ST5X&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=7&gt;&amp;nbsp;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD COLSPAN=7&gt;
+ &lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;SINGLE TURN&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BOURNS&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;BI&amp;nbsp;TECH&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;DALE-VISHAY&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PHILIPS/MEPCO&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;PANASONIC&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;TOCOS&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD&gt;
+ &lt;FONT SIZE=3 FACE=ARIAL&gt;&lt;B&gt;AUX/KYOCERA&lt;/B&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+ &lt;TR&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 3314G&lt;BR&gt;
+ 3314J&lt;BR&gt;
+ 3364A/B&lt;BR&gt;
+ 3364C/D&lt;BR&gt;
+ 3364W/X&lt;BR&gt;
+ 3313G&lt;BR&gt;
+ 3313J&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ 23B&lt;BR&gt;
+ 23A&lt;BR&gt;
+ 21X&lt;BR&gt;
+ 21W&lt;BR&gt;
+ -&lt;BR&gt;
+ 22B&lt;BR&gt;
+ 22A&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ ST5YL/ST53YL&lt;BR&gt;
+ ST5YJ/5T53YJ&lt;BR&gt;
+ ST-23A&lt;BR&gt;
+ ST-22B&lt;BR&gt;
+ ST-22&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ ST-4B&lt;BR&gt;
+ ST-4A&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ ST-3B&lt;BR&gt;
+ ST-3A&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ EVM-6YS&lt;BR&gt;
+ EVM-1E&lt;BR&gt;
+ EVM-1G&lt;BR&gt;
+ EVM-1D&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ G4B&lt;BR&gt;
+ G4A&lt;BR&gt;
+ TR04-3S1&lt;BR&gt;
+ TRG04-2S1&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;TD BGCOLOR="#cccccc" ALIGN=CENTER&gt;&lt;FONT FACE=ARIAL SIZE=3&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;
+ DVR-43A&lt;BR&gt;
+ CVR-42C&lt;BR&gt;
+ CVR-42A/C&lt;BR&gt;
+ -&lt;BR&gt;
+ -&lt;BR&gt;&lt;/FONT&gt;
+ &lt;/TD&gt;
+ &lt;/TR&gt;
+&lt;/TABLE&gt;
+&lt;P&gt;
+&lt;FONT SIZE=4 FACE=ARIAL&gt;&lt;B&gt;ALT =&amp;nbsp;ALTERNATE&lt;/B&gt;&lt;/FONT&gt;
+&lt;P&gt;
+
+&amp;nbsp;
+&lt;P&gt;
+&lt;/td&gt;
+&lt;/tr&gt;
+&lt;/table&gt;
+&lt;/BODY&gt;&lt;/HTML&gt;</description>
+<packages>
+<package name="C0402">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-0.245" y1="0.224" x2="0.245" y2="0.224" width="0.1524" layer="51"/>
+<wire x1="0.245" y1="-0.224" x2="-0.245" y2="-0.224" width="0.1524" layer="51"/>
+<wire x1="-1.473" y1="0.483" x2="1.473" y2="0.483" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="0.483" x2="1.473" y2="-0.483" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="-0.483" x2="-1.473" y2="-0.483" width="0.0508" layer="39"/>
+<wire x1="-1.473" y1="-0.483" x2="-1.473" y2="0.483" width="0.0508" layer="39"/>
+<smd name="1" x="-0.65" y="0" dx="0.7" dy="0.9" layer="1"/>
+<smd name="2" x="0.65" y="0" dx="0.7" dy="0.9" layer="1"/>
+<text x="-0.635" y="0.762" size="1.27" layer="25">&gt;NAME</text>
+<text x="-0.635" y="-1.905" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-0.554" y1="-0.3048" x2="-0.254" y2="0.2951" layer="51"/>
+<rectangle x1="0.2588" y1="-0.3048" x2="0.5588" y2="0.2951" layer="51"/>
+<rectangle x1="-0.1999" y1="-0.3" x2="0.1999" y2="0.3" layer="35"/>
+</package>
+<package name="C0504">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-1.473" y1="0.983" x2="1.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="0.983" x2="1.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="-0.983" x2="-1.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-1.473" y1="-0.983" x2="-1.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="-0.294" y1="0.559" x2="0.294" y2="0.559" width="0.1016" layer="51"/>
+<wire x1="-0.294" y1="-0.559" x2="0.294" y2="-0.559" width="0.1016" layer="51"/>
+<smd name="1" x="-0.7" y="0" dx="1" dy="1.3" layer="1"/>
+<smd name="2" x="0.7" y="0" dx="1" dy="1.3" layer="1"/>
+<text x="-0.635" y="0.889" size="1.27" layer="25">&gt;NAME</text>
+<text x="-0.635" y="-2.159" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-0.6604" y1="-0.6223" x2="-0.2804" y2="0.6276" layer="51"/>
+<rectangle x1="0.2794" y1="-0.6223" x2="0.6594" y2="0.6276" layer="51"/>
+<rectangle x1="-0.1001" y1="-0.4001" x2="0.1001" y2="0.4001" layer="35"/>
+</package>
+<package name="C0603">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-1.473" y1="0.983" x2="1.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="0.983" x2="1.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="-0.983" x2="-1.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-1.473" y1="-0.983" x2="-1.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="-0.356" y1="0.432" x2="0.356" y2="0.432" width="0.1016" layer="51"/>
+<wire x1="-0.356" y1="-0.419" x2="0.356" y2="-0.419" width="0.1016" layer="51"/>
+<smd name="1" x="-0.85" y="0" dx="1.1" dy="1" layer="1"/>
+<smd name="2" x="0.85" y="0" dx="1.1" dy="1" layer="1"/>
+<text x="-0.889" y="0.762" size="1.27" layer="25">&gt;NAME</text>
+<text x="-0.889" y="-2.032" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-0.8382" y1="-0.4699" x2="-0.3381" y2="0.4801" layer="51"/>
+<rectangle x1="0.3302" y1="-0.4699" x2="0.8303" y2="0.4801" layer="51"/>
+<rectangle x1="-0.1999" y1="-0.3" x2="0.1999" y2="0.3" layer="35"/>
+</package>
+<package name="C0805">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-1.973" y1="0.983" x2="1.973" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="1.973" y1="-0.983" x2="-1.973" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-1.973" y1="-0.983" x2="-1.973" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="-0.381" y1="0.66" x2="0.381" y2="0.66" width="0.1016" layer="51"/>
+<wire x1="-0.356" y1="-0.66" x2="0.381" y2="-0.66" width="0.1016" layer="51"/>
+<wire x1="1.973" y1="0.983" x2="1.973" y2="-0.983" width="0.0508" layer="39"/>
+<smd name="1" x="-0.85" y="0" dx="1.3" dy="1.5" layer="1"/>
+<smd name="2" x="0.85" y="0" dx="1.3" dy="1.5" layer="1"/>
+<text x="-0.889" y="1.016" size="1.27" layer="25">&gt;NAME</text>
+<text x="-0.889" y="-2.286" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.0922" y1="-0.7239" x2="-0.3421" y2="0.7262" layer="51"/>
+<rectangle x1="0.3556" y1="-0.7239" x2="1.1057" y2="0.7262" layer="51"/>
+<rectangle x1="-0.1001" y1="-0.4001" x2="0.1001" y2="0.4001" layer="35"/>
+</package>
+<package name="C1005">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-0.245" y1="0.224" x2="0.245" y2="0.224" width="0.1524" layer="51"/>
+<wire x1="0.245" y1="-0.224" x2="-0.245" y2="-0.224" width="0.1524" layer="51"/>
+<wire x1="-1.473" y1="0.483" x2="1.473" y2="0.483" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="0.483" x2="1.473" y2="-0.483" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="-0.483" x2="-1.473" y2="-0.483" width="0.0508" layer="39"/>
+<wire x1="-1.473" y1="-0.483" x2="-1.473" y2="0.483" width="0.0508" layer="39"/>
+<smd name="1" x="-0.65" y="0" dx="0.7" dy="0.9" layer="1"/>
+<smd name="2" x="0.65" y="0" dx="0.7" dy="0.9" layer="1"/>
+<text x="-0.635" y="0.762" size="1.27" layer="25">&gt;NAME</text>
+<text x="-0.635" y="-1.905" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-0.554" y1="-0.3048" x2="-0.254" y2="0.2951" layer="51"/>
+<rectangle x1="0.2588" y1="-0.3048" x2="0.5588" y2="0.2951" layer="51"/>
+<rectangle x1="-0.1999" y1="-0.3" x2="0.1999" y2="0.3" layer="35"/>
+</package>
+<package name="C1206">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-2.473" y1="0.983" x2="2.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="2.473" y1="-0.983" x2="-2.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-2.473" y1="-0.983" x2="-2.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="2.473" y1="0.983" x2="2.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-0.965" y1="0.787" x2="0.965" y2="0.787" width="0.1016" layer="51"/>
+<wire x1="-0.965" y1="-0.787" x2="0.965" y2="-0.787" width="0.1016" layer="51"/>
+<smd name="1" x="-1.4" y="0" dx="1.6" dy="1.8" layer="1"/>
+<smd name="2" x="1.4" y="0" dx="1.6" dy="1.8" layer="1"/>
+<text x="-1.27" y="1.143" size="1.27" layer="25">&gt;NAME</text>
+<text x="-1.27" y="-2.413" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.7018" y1="-0.8509" x2="-0.9517" y2="0.8491" layer="51"/>
+<rectangle x1="0.9517" y1="-0.8491" x2="1.7018" y2="0.8509" layer="51"/>
+<rectangle x1="-0.1999" y1="-0.4001" x2="0.1999" y2="0.4001" layer="35"/>
+</package>
+<package name="C1210">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-2.473" y1="1.483" x2="2.473" y2="1.483" width="0.0508" layer="39"/>
+<wire x1="2.473" y1="-1.483" x2="-2.473" y2="-1.483" width="0.0508" layer="39"/>
+<wire x1="-2.473" y1="-1.483" x2="-2.473" y2="1.483" width="0.0508" layer="39"/>
+<wire x1="-0.9652" y1="1.2446" x2="0.9652" y2="1.2446" width="0.1016" layer="51"/>
+<wire x1="-0.9652" y1="-1.2446" x2="0.9652" y2="-1.2446" width="0.1016" layer="51"/>
+<wire x1="2.473" y1="1.483" x2="2.473" y2="-1.483" width="0.0508" layer="39"/>
+<smd name="1" x="-1.4" y="0" dx="1.6" dy="2.7" layer="1"/>
+<smd name="2" x="1.4" y="0" dx="1.6" dy="2.7" layer="1"/>
+<text x="-1.397" y="1.651" size="1.27" layer="25">&gt;NAME</text>
+<text x="-1.397" y="-2.921" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.7018" y1="-1.2954" x2="-0.9517" y2="1.3045" layer="51"/>
+<rectangle x1="0.9517" y1="-1.3045" x2="1.7018" y2="1.2954" layer="51"/>
+<rectangle x1="-0.1999" y1="-0.4001" x2="0.1999" y2="0.4001" layer="35"/>
+</package>
+<package name="C1310">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-1.473" y1="0.983" x2="1.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="0.983" x2="1.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="-0.983" x2="-1.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-1.473" y1="-0.983" x2="-1.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="-0.294" y1="0.559" x2="0.294" y2="0.559" width="0.1016" layer="51"/>
+<wire x1="-0.294" y1="-0.559" x2="0.294" y2="-0.559" width="0.1016" layer="51"/>
+<smd name="1" x="-0.7" y="0" dx="1" dy="1.3" layer="1"/>
+<smd name="2" x="0.7" y="0" dx="1" dy="1.3" layer="1"/>
+<text x="-0.635" y="0.889" size="1.27" layer="25">&gt;NAME</text>
+<text x="-0.635" y="-2.159" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-0.6604" y1="-0.6223" x2="-0.2804" y2="0.6276" layer="51"/>
+<rectangle x1="0.2794" y1="-0.6223" x2="0.6594" y2="0.6276" layer="51"/>
+<rectangle x1="-0.1001" y1="-0.3" x2="0.1001" y2="0.3" layer="35"/>
+</package>
+<package name="C1608">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-1.473" y1="0.983" x2="1.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="0.983" x2="1.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="1.473" y1="-0.983" x2="-1.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-1.473" y1="-0.983" x2="-1.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="-0.356" y1="0.432" x2="0.356" y2="0.432" width="0.1016" layer="51"/>
+<wire x1="-0.356" y1="-0.419" x2="0.356" y2="-0.419" width="0.1016" layer="51"/>
+<smd name="1" x="-0.85" y="0" dx="1.1" dy="1" layer="1"/>
+<smd name="2" x="0.85" y="0" dx="1.1" dy="1" layer="1"/>
+<text x="-0.635" y="0.762" size="1.27" layer="25">&gt;NAME</text>
+<text x="-0.635" y="-2.032" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-0.8382" y1="-0.4699" x2="-0.3381" y2="0.4801" layer="51"/>
+<rectangle x1="0.3302" y1="-0.4699" x2="0.8303" y2="0.4801" layer="51"/>
+<rectangle x1="-0.1999" y1="-0.3" x2="0.1999" y2="0.3" layer="35"/>
+</package>
+<package name="C1812">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-2.973" y1="1.983" x2="2.973" y2="1.983" width="0.0508" layer="39"/>
+<wire x1="2.973" y1="-1.983" x2="-2.973" y2="-1.983" width="0.0508" layer="39"/>
+<wire x1="-2.973" y1="-1.983" x2="-2.973" y2="1.983" width="0.0508" layer="39"/>
+<wire x1="-1.4732" y1="1.6002" x2="1.4732" y2="1.6002" width="0.1016" layer="51"/>
+<wire x1="-1.4478" y1="-1.6002" x2="1.4732" y2="-1.6002" width="0.1016" layer="51"/>
+<wire x1="2.973" y1="1.983" x2="2.973" y2="-1.983" width="0.0508" layer="39"/>
+<smd name="1" x="-1.95" y="0" dx="1.9" dy="3.4" layer="1"/>
+<smd name="2" x="1.95" y="0" dx="1.9" dy="3.4" layer="1"/>
+<text x="-1.905" y="1.905" size="1.27" layer="25">&gt;NAME</text>
+<text x="-1.905" y="-3.175" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-2.3876" y1="-1.651" x2="-1.4376" y2="1.649" layer="51"/>
+<rectangle x1="1.4478" y1="-1.651" x2="2.3978" y2="1.649" layer="51"/>
+<rectangle x1="-0.3" y1="-0.4001" x2="0.3" y2="0.4001" layer="35"/>
+</package>
+<package name="C1825">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-2.973" y1="3.483" x2="2.973" y2="3.483" width="0.0508" layer="39"/>
+<wire x1="2.973" y1="-3.483" x2="-2.973" y2="-3.483" width="0.0508" layer="39"/>
+<wire x1="-2.973" y1="-3.483" x2="-2.973" y2="3.483" width="0.0508" layer="39"/>
+<wire x1="-1.4986" y1="3.2766" x2="1.4732" y2="3.2766" width="0.1016" layer="51"/>
+<wire x1="-1.4732" y1="-3.2766" x2="1.4986" y2="-3.2766" width="0.1016" layer="51"/>
+<wire x1="2.973" y1="3.483" x2="2.973" y2="-3.483" width="0.0508" layer="39"/>
+<smd name="1" x="-1.95" y="0" dx="1.9" dy="6.8" layer="1"/>
+<smd name="2" x="1.95" y="0" dx="1.9" dy="6.8" layer="1"/>
+<text x="-1.905" y="3.683" size="1.27" layer="25">&gt;NAME</text>
+<text x="-1.905" y="-4.826" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-2.413" y1="-3.3528" x2="-1.463" y2="3.3472" layer="51"/>
+<rectangle x1="1.4478" y1="-3.3528" x2="2.3978" y2="3.3472" layer="51"/>
+<rectangle x1="-0.7" y1="-0.7" x2="0.7" y2="0.7" layer="35"/>
+</package>
+<package name="C2012">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-1.973" y1="0.983" x2="1.973" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="1.973" y1="0.983" x2="1.973" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="1.973" y1="-0.983" x2="-1.973" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-1.973" y1="-0.983" x2="-1.973" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="-0.381" y1="0.66" x2="0.381" y2="0.66" width="0.1016" layer="51"/>
+<wire x1="-0.356" y1="-0.66" x2="0.381" y2="-0.66" width="0.1016" layer="51"/>
+<smd name="1" x="-0.85" y="0" dx="1.3" dy="1.5" layer="1"/>
+<smd name="2" x="0.85" y="0" dx="1.3" dy="1.5" layer="1"/>
+<text x="-0.889" y="1.016" size="1.27" layer="25">&gt;NAME</text>
+<text x="-0.889" y="-2.286" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.0922" y1="-0.7239" x2="-0.3421" y2="0.7262" layer="51"/>
+<rectangle x1="0.3556" y1="-0.7239" x2="1.1057" y2="0.7262" layer="51"/>
+<rectangle x1="-0.1001" y1="-0.4001" x2="0.1001" y2="0.4001" layer="35"/>
+</package>
+<package name="C3216">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-2.473" y1="0.983" x2="2.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="2.473" y1="-0.983" x2="-2.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-2.473" y1="-0.983" x2="-2.473" y2="0.983" width="0.0508" layer="39"/>
+<wire x1="2.473" y1="0.983" x2="2.473" y2="-0.983" width="0.0508" layer="39"/>
+<wire x1="-0.965" y1="0.787" x2="0.965" y2="0.787" width="0.1016" layer="51"/>
+<wire x1="-0.965" y1="-0.787" x2="0.965" y2="-0.787" width="0.1016" layer="51"/>
+<smd name="1" x="-1.4" y="0" dx="1.6" dy="1.8" layer="1"/>
+<smd name="2" x="1.4" y="0" dx="1.6" dy="1.8" layer="1"/>
+<text x="-1.27" y="1.143" size="1.27" layer="25">&gt;NAME</text>
+<text x="-1.27" y="-2.413" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.7018" y1="-0.8509" x2="-0.9517" y2="0.8491" layer="51"/>
+<rectangle x1="0.9517" y1="-0.8491" x2="1.7018" y2="0.8509" layer="51"/>
+<rectangle x1="-0.3" y1="-0.5001" x2="0.3" y2="0.5001" layer="35"/>
+</package>
+<package name="C3225">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-2.473" y1="1.483" x2="2.473" y2="1.483" width="0.0508" layer="39"/>
+<wire x1="2.473" y1="-1.483" x2="-2.473" y2="-1.483" width="0.0508" layer="39"/>
+<wire x1="-2.473" y1="-1.483" x2="-2.473" y2="1.483" width="0.0508" layer="39"/>
+<wire x1="-0.9652" y1="1.2446" x2="0.9652" y2="1.2446" width="0.1016" layer="51"/>
+<wire x1="-0.9652" y1="-1.2446" x2="0.9652" y2="-1.2446" width="0.1016" layer="51"/>
+<wire x1="2.473" y1="1.483" x2="2.473" y2="-1.483" width="0.0508" layer="39"/>
+<smd name="1" x="-1.4" y="0" dx="1.6" dy="2.7" layer="1"/>
+<smd name="2" x="1.4" y="0" dx="1.6" dy="2.7" layer="1"/>
+<text x="-1.397" y="1.651" size="1.27" layer="25">&gt;NAME</text>
+<text x="-1.397" y="-2.921" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.7018" y1="-1.2954" x2="-0.9517" y2="1.3045" layer="51"/>
+<rectangle x1="0.9517" y1="-1.3045" x2="1.7018" y2="1.2954" layer="51"/>
+<rectangle x1="-0.1999" y1="-0.5001" x2="0.1999" y2="0.5001" layer="35"/>
+</package>
+<package name="C4532">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-2.973" y1="1.983" x2="2.973" y2="1.983" width="0.0508" layer="39"/>
+<wire x1="2.973" y1="-1.983" x2="-2.973" y2="-1.983" width="0.0508" layer="39"/>
+<wire x1="-2.973" y1="-1.983" x2="-2.973" y2="1.983" width="0.0508" layer="39"/>
+<wire x1="-1.4732" y1="1.6002" x2="1.4732" y2="1.6002" width="0.1016" layer="51"/>
+<wire x1="-1.4478" y1="-1.6002" x2="1.4732" y2="-1.6002" width="0.1016" layer="51"/>
+<wire x1="2.973" y1="1.983" x2="2.973" y2="-1.983" width="0.0508" layer="39"/>
+<smd name="1" x="-1.95" y="0" dx="1.9" dy="3.4" layer="1"/>
+<smd name="2" x="1.95" y="0" dx="1.9" dy="3.4" layer="1"/>
+<text x="-1.905" y="2.032" size="1.27" layer="25">&gt;NAME</text>
+<text x="-1.905" y="-3.175" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-2.3876" y1="-1.651" x2="-1.4376" y2="1.649" layer="51"/>
+<rectangle x1="1.4478" y1="-1.651" x2="2.3978" y2="1.649" layer="51"/>
+<rectangle x1="-0.4001" y1="-0.7" x2="0.4001" y2="0.7" layer="35"/>
+</package>
+<package name="C4564">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+chip</description>
+<wire x1="-2.973" y1="3.483" x2="2.973" y2="3.483" width="0.0508" layer="39"/>
+<wire x1="2.973" y1="-3.483" x2="-2.973" y2="-3.483" width="0.0508" layer="39"/>
+<wire x1="-2.973" y1="-3.483" x2="-2.973" y2="3.483" width="0.0508" layer="39"/>
+<wire x1="-1.4986" y1="3.2766" x2="1.4732" y2="3.2766" width="0.1016" layer="51"/>
+<wire x1="-1.4732" y1="-3.2766" x2="1.4986" y2="-3.2766" width="0.1016" layer="51"/>
+<wire x1="2.973" y1="3.483" x2="2.973" y2="-3.483" width="0.0508" layer="39"/>
+<smd name="1" x="-1.95" y="0" dx="1.9" dy="6.8" layer="1"/>
+<smd name="2" x="1.95" y="0" dx="1.9" dy="6.8" layer="1"/>
+<text x="-1.905" y="3.683" size="1.27" layer="25">&gt;NAME</text>
+<text x="-1.905" y="-4.826" size="1.27" layer="27">&gt;VALUE</text>
+<rectangle x1="-2.413" y1="-3.3528" x2="-1.463" y2="3.3472" layer="51"/>
+<rectangle x1="1.4478" y1="-3.3528" x2="2.3978" y2="3.3472" layer="51"/>
+<rectangle x1="-0.5001" y1="-1" x2="0.5001" y2="1" layer="35"/>
+</package>
+<package name="C025-024X044">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 mm, outline 2.4 x 4.4 mm</description>
+<wire x1="-2.159" y1="-0.635" x2="-2.159" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-2.159" y1="0.635" x2="-1.651" y2="1.143" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.159" y1="-0.635" x2="-1.651" y2="-1.143" width="0.1524" layer="21" curve="90"/>
+<wire x1="1.651" y1="1.143" x2="-1.651" y2="1.143" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-0.635" x2="2.159" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="1.651" y1="-1.143" x2="-1.651" y2="-1.143" width="0.1524" layer="21"/>
+<wire x1="1.651" y1="1.143" x2="2.159" y2="0.635" width="0.1524" layer="21" curve="-90"/>
+<wire x1="1.651" y1="-1.143" x2="2.159" y2="-0.635" width="0.1524" layer="21" curve="90"/>
+<wire x1="-0.3048" y1="0.762" x2="-0.3048" y2="-0.762" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0.762" x2="0.3302" y2="-0.762" width="0.3048" layer="21"/>
+<wire x1="1.27" y1="0" x2="0.3302" y2="0" width="0.1524" layer="51"/>
+<wire x1="-1.27" y1="0" x2="-0.3048" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-1.778" y="1.397" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-1.778" y="-2.667" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025-025X050">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 mm, outline 2.5 x 5 mm</description>
+<wire x1="-2.159" y1="1.27" x2="2.159" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-1.27" x2="-2.159" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="1.016" x2="2.413" y2="-1.016" width="0.1524" layer="21"/>
+<wire x1="-2.413" y1="1.016" x2="-2.413" y2="-1.016" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="1.27" x2="2.413" y2="1.016" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.413" y1="1.016" x2="-2.159" y2="1.27" width="0.1524" layer="21" curve="-90"/>
+<wire x1="2.159" y1="-1.27" x2="2.413" y2="-1.016" width="0.1524" layer="21" curve="90"/>
+<wire x1="-2.413" y1="-1.016" x2="-2.159" y2="-1.27" width="0.1524" layer="21" curve="90"/>
+<wire x1="0.762" y1="0" x2="0.381" y2="0" width="0.1524" layer="51"/>
+<wire x1="0.381" y1="0" x2="0.254" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="0.762" width="0.254" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0.762" x2="-0.254" y2="0" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.381" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.381" y1="0" x2="-0.762" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.286" y="1.524" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.286" y="-2.794" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025-030X050">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 mm, outline 3 x 5 mm</description>
+<wire x1="-2.159" y1="1.524" x2="2.159" y2="1.524" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-1.524" x2="-2.159" y2="-1.524" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="1.27" x2="2.413" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-2.413" y1="1.27" x2="-2.413" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="1.524" x2="2.413" y2="1.27" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.413" y1="1.27" x2="-2.159" y2="1.524" width="0.1524" layer="21" curve="-90"/>
+<wire x1="2.159" y1="-1.524" x2="2.413" y2="-1.27" width="0.1524" layer="21" curve="90"/>
+<wire x1="-2.413" y1="-1.27" x2="-2.159" y2="-1.524" width="0.1524" layer="21" curve="90"/>
+<wire x1="0.762" y1="0" x2="0.381" y2="0" width="0.1524" layer="51"/>
+<wire x1="0.381" y1="0" x2="0.254" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="0.762" width="0.254" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0.762" x2="-0.254" y2="0" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.381" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.381" y1="0" x2="-0.762" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.286" y="1.905" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.286" y="-3.048" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025-040X050">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 mm, outline 4 x 5 mm</description>
+<wire x1="-2.159" y1="1.905" x2="2.159" y2="1.905" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-1.905" x2="-2.159" y2="-1.905" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="1.651" x2="2.413" y2="-1.651" width="0.1524" layer="21"/>
+<wire x1="-2.413" y1="1.651" x2="-2.413" y2="-1.651" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="1.905" x2="2.413" y2="1.651" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.413" y1="1.651" x2="-2.159" y2="1.905" width="0.1524" layer="21" curve="-90"/>
+<wire x1="2.159" y1="-1.905" x2="2.413" y2="-1.651" width="0.1524" layer="21" curve="90"/>
+<wire x1="-2.413" y1="-1.651" x2="-2.159" y2="-1.905" width="0.1524" layer="21" curve="90"/>
+<wire x1="0.762" y1="0" x2="0.381" y2="0" width="0.1524" layer="51"/>
+<wire x1="0.381" y1="0" x2="0.254" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="0.762" width="0.254" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0.762" x2="-0.254" y2="0" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.381" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.381" y1="0" x2="-0.762" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.286" y="2.159" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.286" y="-3.429" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025-050X050">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 mm, outline 5 x 5 mm</description>
+<wire x1="-2.159" y1="2.286" x2="2.159" y2="2.286" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-2.286" x2="-2.159" y2="-2.286" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="2.032" x2="2.413" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="-2.413" y1="2.032" x2="-2.413" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="2.286" x2="2.413" y2="2.032" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.413" y1="2.032" x2="-2.159" y2="2.286" width="0.1524" layer="21" curve="-90"/>
+<wire x1="2.159" y1="-2.286" x2="2.413" y2="-2.032" width="0.1524" layer="21" curve="90"/>
+<wire x1="-2.413" y1="-2.032" x2="-2.159" y2="-2.286" width="0.1524" layer="21" curve="90"/>
+<wire x1="0.762" y1="0" x2="0.381" y2="0" width="0.1524" layer="51"/>
+<wire x1="0.381" y1="0" x2="0.254" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="0.762" width="0.254" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0.762" x2="-0.254" y2="0" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.381" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.381" y1="0" x2="-0.762" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.286" y="2.54" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.286" y="-3.81" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025-060X050">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 mm, outline 6 x 5 mm</description>
+<wire x1="-2.159" y1="2.794" x2="2.159" y2="2.794" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-2.794" x2="-2.159" y2="-2.794" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="2.54" x2="2.413" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="-2.413" y1="2.54" x2="-2.413" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="2.794" x2="2.413" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.413" y1="2.54" x2="-2.159" y2="2.794" width="0.1524" layer="21" curve="-90"/>
+<wire x1="2.159" y1="-2.794" x2="2.413" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-2.413" y1="-2.54" x2="-2.159" y2="-2.794" width="0.1524" layer="21" curve="90"/>
+<wire x1="0.762" y1="0" x2="0.381" y2="0" width="0.1524" layer="51"/>
+<wire x1="0.381" y1="0" x2="0.254" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="0.762" width="0.254" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0.762" x2="-0.254" y2="0" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.381" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.381" y1="0" x2="-0.762" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.286" y="3.048" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.032" y="-2.413" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025_050-024X070">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 mm + 5 mm, outline 2.4 x 7 mm</description>
+<wire x1="-2.159" y1="-0.635" x2="-2.159" y2="0.635" width="0.1524" layer="51"/>
+<wire x1="-2.159" y1="0.635" x2="-1.651" y2="1.143" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.159" y1="-0.635" x2="-1.651" y2="-1.143" width="0.1524" layer="21" curve="90"/>
+<wire x1="1.651" y1="1.143" x2="-1.651" y2="1.143" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-0.635" x2="2.159" y2="0.635" width="0.1524" layer="51"/>
+<wire x1="1.651" y1="-1.143" x2="-1.651" y2="-1.143" width="0.1524" layer="21"/>
+<wire x1="1.651" y1="1.143" x2="2.159" y2="0.635" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-4.191" y1="-1.143" x2="-3.9624" y2="-1.143" width="0.1524" layer="21"/>
+<wire x1="-4.191" y1="1.143" x2="-3.9624" y2="1.143" width="0.1524" layer="21"/>
+<wire x1="-4.699" y1="-0.635" x2="-4.191" y2="-1.143" width="0.1524" layer="21" curve="90"/>
+<wire x1="1.651" y1="-1.143" x2="2.159" y2="-0.635" width="0.1524" layer="21" curve="90"/>
+<wire x1="-4.699" y1="0.635" x2="-4.191" y2="1.143" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-4.699" y1="-0.635" x2="-4.699" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-3.429" y1="1.143" x2="-2.5654" y2="1.143" width="0.1524" layer="21"/>
+<wire x1="-3.429" y1="-1.143" x2="-2.5654" y2="-1.143" width="0.1524" layer="21"/>
+<wire x1="-0.3048" y1="0.762" x2="-0.3048" y2="-0.762" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0.762" x2="0.3302" y2="-0.762" width="0.3048" layer="21"/>
+<wire x1="1.27" y1="0" x2="0.3302" y2="0" width="0.1524" layer="51"/>
+<wire x1="-1.27" y1="0" x2="-0.3048" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-3.81" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="3" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-3.81" y="1.397" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.81" y="-2.667" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025_050-025X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 + 5 mm, outline 2.5 x 7.5 mm</description>
+<wire x1="-2.159" y1="1.27" x2="2.159" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-1.27" x2="-2.159" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-2.413" y1="1.016" x2="-2.413" y2="-1.016" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="1.27" x2="2.413" y2="1.016" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.413" y1="1.016" x2="-2.159" y2="1.27" width="0.1524" layer="21" curve="-90"/>
+<wire x1="2.159" y1="-1.27" x2="2.413" y2="-1.016" width="0.1524" layer="21" curve="90"/>
+<wire x1="-2.413" y1="-1.016" x2="-2.159" y2="-1.27" width="0.1524" layer="21" curve="90"/>
+<wire x1="0.381" y1="0" x2="0.254" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="0.762" width="0.254" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0.762" x2="-0.254" y2="0" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.381" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.381" y1="0" x2="-0.762" y2="0" width="0.1524" layer="51"/>
+<wire x1="4.953" y1="1.016" x2="4.953" y2="-1.016" width="0.1524" layer="21"/>
+<wire x1="4.699" y1="1.27" x2="4.953" y2="1.016" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.699" y1="-1.27" x2="4.953" y2="-1.016" width="0.1524" layer="21" curve="90"/>
+<wire x1="2.794" y1="1.27" x2="4.699" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="4.699" y1="-1.27" x2="2.794" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="1.016" x2="2.413" y2="0.762" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="-0.762" x2="2.413" y2="-1.016" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="0.254" x2="2.413" y2="-0.254" width="0.1524" layer="21"/>
+<wire x1="1.778" y1="0" x2="2.286" y2="0" width="0.1524" layer="51"/>
+<wire x1="2.286" y1="0" x2="2.794" y2="0" width="0.1524" layer="21"/>
+<wire x1="2.794" y1="0" x2="3.302" y2="0" width="0.1524" layer="51"/>
+<wire x1="0.762" y1="0" x2="0.381" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="3" x="3.81" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.159" y="1.651" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.159" y="-2.794" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025_050-035X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 + 5 mm, outline 3.5 x 7.5 mm</description>
+<wire x1="-2.159" y1="1.778" x2="2.159" y2="1.778" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-1.778" x2="-2.159" y2="-1.778" width="0.1524" layer="21"/>
+<wire x1="-2.413" y1="1.524" x2="-2.413" y2="-1.524" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="1.778" x2="2.413" y2="1.524" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.413" y1="1.524" x2="-2.159" y2="1.778" width="0.1524" layer="21" curve="-90"/>
+<wire x1="2.159" y1="-1.778" x2="2.413" y2="-1.524" width="0.1524" layer="21" curve="90"/>
+<wire x1="-2.413" y1="-1.524" x2="-2.159" y2="-1.778" width="0.1524" layer="21" curve="90"/>
+<wire x1="0.381" y1="0" x2="0.254" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="0.762" width="0.254" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0.762" x2="-0.254" y2="0" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.381" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.381" y1="0" x2="-0.762" y2="0" width="0.1524" layer="51"/>
+<wire x1="4.953" y1="1.524" x2="4.953" y2="-1.524" width="0.1524" layer="21"/>
+<wire x1="4.699" y1="1.778" x2="4.953" y2="1.524" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.699" y1="-1.778" x2="4.953" y2="-1.524" width="0.1524" layer="21" curve="90"/>
+<wire x1="2.794" y1="1.778" x2="4.699" y2="1.778" width="0.1524" layer="21"/>
+<wire x1="4.699" y1="-1.778" x2="2.794" y2="-1.778" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="1.524" x2="2.413" y2="1.016" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="-1.016" x2="2.413" y2="-1.524" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="0.508" x2="2.413" y2="-0.508" width="0.1524" layer="21"/>
+<wire x1="0.381" y1="0" x2="0.762" y2="0" width="0.1524" layer="51"/>
+<wire x1="2.286" y1="0" x2="2.794" y2="0" width="0.1524" layer="21"/>
+<wire x1="2.794" y1="0" x2="3.302" y2="0" width="0.1524" layer="51"/>
+<wire x1="2.286" y1="0" x2="1.778" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="3" x="3.81" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.286" y="2.159" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.286" y="-3.302" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025_050-045X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 + 5 mm, outline 4.5 x 7.5 mm</description>
+<wire x1="-2.159" y1="2.286" x2="2.159" y2="2.286" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-2.286" x2="-2.159" y2="-2.286" width="0.1524" layer="21"/>
+<wire x1="-2.413" y1="2.032" x2="-2.413" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="2.286" x2="2.413" y2="2.032" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.413" y1="2.032" x2="-2.159" y2="2.286" width="0.1524" layer="21" curve="-90"/>
+<wire x1="2.159" y1="-2.286" x2="2.413" y2="-2.032" width="0.1524" layer="21" curve="90"/>
+<wire x1="-2.413" y1="-2.032" x2="-2.159" y2="-2.286" width="0.1524" layer="21" curve="90"/>
+<wire x1="0.381" y1="0" x2="0.254" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="0.762" width="0.254" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0.762" x2="-0.254" y2="0" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.381" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.381" y1="0" x2="-0.762" y2="0" width="0.1524" layer="51"/>
+<wire x1="4.953" y1="2.032" x2="4.953" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="4.699" y1="2.286" x2="4.953" y2="2.032" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.699" y1="-2.286" x2="4.953" y2="-2.032" width="0.1524" layer="21" curve="90"/>
+<wire x1="2.794" y1="2.286" x2="4.699" y2="2.286" width="0.1524" layer="21"/>
+<wire x1="4.699" y1="-2.286" x2="2.794" y2="-2.286" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="2.032" x2="2.413" y2="1.397" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="-1.397" x2="2.413" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="0.762" x2="2.413" y2="-0.762" width="0.1524" layer="21"/>
+<wire x1="2.286" y1="0" x2="2.794" y2="0" width="0.1524" layer="21"/>
+<wire x1="2.794" y1="0" x2="3.302" y2="0" width="0.1524" layer="51"/>
+<wire x1="0.381" y1="0" x2="0.762" y2="0" width="0.1524" layer="51"/>
+<wire x1="2.286" y1="0" x2="1.778" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="3" x="3.81" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.286" y="2.667" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.286" y="-3.81" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C025_050-055X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 2.5 + 5 mm, outline 5.5 x 7.5 mm</description>
+<wire x1="-2.159" y1="2.794" x2="2.159" y2="2.794" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-2.794" x2="-2.159" y2="-2.794" width="0.1524" layer="21"/>
+<wire x1="-2.413" y1="2.54" x2="-2.413" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="2.794" x2="2.413" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.413" y1="2.54" x2="-2.159" y2="2.794" width="0.1524" layer="21" curve="-90"/>
+<wire x1="2.159" y1="-2.794" x2="2.413" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-2.413" y1="-2.54" x2="-2.159" y2="-2.794" width="0.1524" layer="21" curve="90"/>
+<wire x1="0.381" y1="0" x2="0.254" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="0.762" width="0.254" layer="21"/>
+<wire x1="0.254" y1="0" x2="0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0.762" x2="-0.254" y2="0" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.254" y2="-0.762" width="0.254" layer="21"/>
+<wire x1="-0.254" y1="0" x2="-0.381" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.381" y1="0" x2="-0.762" y2="0" width="0.1524" layer="51"/>
+<wire x1="4.953" y1="2.54" x2="4.953" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="4.699" y1="2.794" x2="4.953" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.699" y1="-2.794" x2="4.953" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="2.794" y1="2.794" x2="4.699" y2="2.794" width="0.1524" layer="21"/>
+<wire x1="4.699" y1="-2.794" x2="2.794" y2="-2.794" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="2.54" x2="2.413" y2="2.032" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="-2.032" x2="2.413" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="2.413" y1="0.762" x2="2.413" y2="-0.762" width="0.1524" layer="21"/>
+<wire x1="1.778" y1="0" x2="2.286" y2="0" width="0.1524" layer="51"/>
+<wire x1="2.286" y1="0" x2="2.794" y2="0" width="0.1524" layer="21"/>
+<wire x1="2.794" y1="0" x2="3.302" y2="0" width="0.1524" layer="51"/>
+<wire x1="0.381" y1="0" x2="0.762" y2="0" width="0.1524" layer="51"/>
+<pad name="1" x="-1.27" y="0" drill="0.8128" shape="octagon"/>
+<pad name="3" x="3.81" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="1.27" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.286" y="3.175" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.032" y="-2.286" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C050-024X044">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 5 mm, outline 2.4 x 4.4 mm</description>
+<wire x1="-2.159" y1="-0.635" x2="-2.159" y2="0.635" width="0.1524" layer="51"/>
+<wire x1="-2.159" y1="0.635" x2="-1.651" y2="1.143" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.159" y1="-0.635" x2="-1.651" y2="-1.143" width="0.1524" layer="21" curve="90"/>
+<wire x1="1.651" y1="1.143" x2="-1.651" y2="1.143" width="0.1524" layer="21"/>
+<wire x1="2.159" y1="-0.635" x2="2.159" y2="0.635" width="0.1524" layer="51"/>
+<wire x1="1.651" y1="-1.143" x2="-1.651" y2="-1.143" width="0.1524" layer="21"/>
+<wire x1="1.651" y1="1.143" x2="2.159" y2="0.635" width="0.1524" layer="21" curve="-90"/>
+<wire x1="1.651" y1="-1.143" x2="2.159" y2="-0.635" width="0.1524" layer="21" curve="90"/>
+<wire x1="-0.3048" y1="0.762" x2="-0.3048" y2="0" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-0.3048" y2="-0.762" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0.762" x2="0.3302" y2="0" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="0.3302" y2="-0.762" width="0.3048" layer="21"/>
+<wire x1="1.27" y1="0" x2="0.3302" y2="0" width="0.1524" layer="21"/>
+<wire x1="-1.27" y1="0" x2="-0.3048" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-2.54" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="2.54" y="0" drill="0.8128" shape="octagon"/>
+<text x="-2.159" y="1.397" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-2.159" y="-2.667" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+<rectangle x1="2.159" y1="-0.381" x2="2.54" y2="0.381" layer="51"/>
+<rectangle x1="-2.54" y1="-0.381" x2="-2.159" y2="0.381" layer="51"/>
+</package>
+<package name="C050-025X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 5 mm, outline 2.5 x 7.5 mm</description>
+<wire x1="-0.3048" y1="0.635" x2="-0.3048" y2="0" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-0.3048" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.3302" y1="0.635" x2="0.3302" y2="0" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="0.3302" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="-3.683" y1="1.016" x2="-3.683" y2="-1.016" width="0.1524" layer="21"/>
+<wire x1="-3.429" y1="-1.27" x2="3.429" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="3.683" y1="-1.016" x2="3.683" y2="1.016" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="1.27" x2="-3.429" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="1.27" x2="3.683" y2="1.016" width="0.1524" layer="21" curve="-90"/>
+<wire x1="3.429" y1="-1.27" x2="3.683" y2="-1.016" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="-1.016" x2="-3.429" y2="-1.27" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="1.016" x2="-3.429" y2="1.27" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-2.54" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="2.54" y="0" drill="0.8128" shape="octagon"/>
+<text x="-3.429" y="1.651" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.429" y="-2.794" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C050-045X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 5 mm, outline 4.5 x 7.5 mm</description>
+<wire x1="-0.3048" y1="0.635" x2="-0.3048" y2="0" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-0.3048" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.3302" y1="0.635" x2="0.3302" y2="0" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="0.3302" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="-3.683" y1="2.032" x2="-3.683" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="-3.429" y1="-2.286" x2="3.429" y2="-2.286" width="0.1524" layer="21"/>
+<wire x1="3.683" y1="-2.032" x2="3.683" y2="2.032" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="2.286" x2="-3.429" y2="2.286" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="2.286" x2="3.683" y2="2.032" width="0.1524" layer="21" curve="-90"/>
+<wire x1="3.429" y1="-2.286" x2="3.683" y2="-2.032" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="-2.032" x2="-3.429" y2="-2.286" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="2.032" x2="-3.429" y2="2.286" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-2.54" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="2.54" y="0" drill="0.8128" shape="octagon"/>
+<text x="-3.556" y="2.667" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.556" y="-3.81" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C050-030X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 5 mm, outline 3 x 7.5 mm</description>
+<wire x1="-0.3048" y1="0.635" x2="-0.3048" y2="0" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-0.3048" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.3302" y1="0.635" x2="0.3302" y2="0" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="0.3302" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="-3.683" y1="1.27" x2="-3.683" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-3.429" y1="-1.524" x2="3.429" y2="-1.524" width="0.1524" layer="21"/>
+<wire x1="3.683" y1="-1.27" x2="3.683" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="1.524" x2="-3.429" y2="1.524" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="1.524" x2="3.683" y2="1.27" width="0.1524" layer="21" curve="-90"/>
+<wire x1="3.429" y1="-1.524" x2="3.683" y2="-1.27" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="-1.27" x2="-3.429" y2="-1.524" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="1.27" x2="-3.429" y2="1.524" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-2.54" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="2.54" y="0" drill="0.8128" shape="octagon"/>
+<text x="-3.556" y="1.905" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.556" y="-3.048" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C050-050X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 5 mm, outline 5 x 7.5 mm</description>
+<wire x1="-0.3048" y1="0.635" x2="-0.3048" y2="0" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-0.3048" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.3302" y1="0.635" x2="0.3302" y2="0" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="0.3302" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="-3.683" y1="2.286" x2="-3.683" y2="-2.286" width="0.1524" layer="21"/>
+<wire x1="-3.429" y1="-2.54" x2="3.429" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="3.683" y1="-2.286" x2="3.683" y2="2.286" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="2.54" x2="-3.429" y2="2.54" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="2.54" x2="3.683" y2="2.286" width="0.1524" layer="21" curve="-90"/>
+<wire x1="3.429" y1="-2.54" x2="3.683" y2="-2.286" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="-2.286" x2="-3.429" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="2.286" x2="-3.429" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-2.54" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="2.54" y="0" drill="0.8128" shape="octagon"/>
+<text x="-3.429" y="2.921" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.175" y="-2.159" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C050-055X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 5 mm, outline 5.5 x 7.5 mm</description>
+<wire x1="-0.3048" y1="0.635" x2="-0.3048" y2="0" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-0.3048" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.3302" y1="0.635" x2="0.3302" y2="0" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="0.3302" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="-3.683" y1="2.54" x2="-3.683" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="-3.429" y1="-2.794" x2="3.429" y2="-2.794" width="0.1524" layer="21"/>
+<wire x1="3.683" y1="-2.54" x2="3.683" y2="2.54" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="2.794" x2="-3.429" y2="2.794" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="2.794" x2="3.683" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="3.429" y1="-2.794" x2="3.683" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="-2.54" x2="-3.429" y2="-2.794" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="2.54" x2="-3.429" y2="2.794" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-2.54" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="2.54" y="0" drill="0.8128" shape="octagon"/>
+<text x="-3.429" y="3.175" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.302" y="-2.286" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C050-075X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 5 mm, outline 7.5 x 7.5 mm</description>
+<wire x1="-1.524" y1="0" x2="-0.4572" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.4572" y1="0" x2="-0.4572" y2="0.762" width="0.4064" layer="21"/>
+<wire x1="-0.4572" y1="0" x2="-0.4572" y2="-0.762" width="0.4064" layer="21"/>
+<wire x1="0.4318" y1="0.762" x2="0.4318" y2="0" width="0.4064" layer="21"/>
+<wire x1="0.4318" y1="0" x2="1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.4318" y1="0" x2="0.4318" y2="-0.762" width="0.4064" layer="21"/>
+<wire x1="-3.683" y1="3.429" x2="-3.683" y2="-3.429" width="0.1524" layer="21"/>
+<wire x1="-3.429" y1="-3.683" x2="3.429" y2="-3.683" width="0.1524" layer="21"/>
+<wire x1="3.683" y1="-3.429" x2="3.683" y2="3.429" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="3.683" x2="-3.429" y2="3.683" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="3.683" x2="3.683" y2="3.429" width="0.1524" layer="21" curve="-90"/>
+<wire x1="3.429" y1="-3.683" x2="3.683" y2="-3.429" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="-3.429" x2="-3.429" y2="-3.683" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="3.429" x2="-3.429" y2="3.683" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-2.54" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="2.54" y="0" drill="0.8128" shape="octagon"/>
+<text x="-3.429" y="4.064" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.175" y="-2.921" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C050H075X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+Horizontal, grid 5 mm, outline 7.5 x 7.5 mm</description>
+<wire x1="-3.683" y1="7.112" x2="-3.683" y2="0.508" width="0.1524" layer="21"/>
+<wire x1="-3.683" y1="0.508" x2="-3.302" y2="0.508" width="0.1524" layer="21"/>
+<wire x1="-3.302" y1="0.508" x2="-1.778" y2="0.508" width="0.1524" layer="51"/>
+<wire x1="-1.778" y1="0.508" x2="1.778" y2="0.508" width="0.1524" layer="21"/>
+<wire x1="1.778" y1="0.508" x2="3.302" y2="0.508" width="0.1524" layer="51"/>
+<wire x1="3.302" y1="0.508" x2="3.683" y2="0.508" width="0.1524" layer="21"/>
+<wire x1="3.683" y1="0.508" x2="3.683" y2="7.112" width="0.1524" layer="21"/>
+<wire x1="3.175" y1="7.62" x2="-3.175" y2="7.62" width="0.1524" layer="21"/>
+<wire x1="-0.3048" y1="2.413" x2="-0.3048" y2="1.778" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="1.778" x2="-0.3048" y2="1.143" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="1.778" x2="-1.651" y2="1.778" width="0.1524" layer="21"/>
+<wire x1="0.3302" y1="2.413" x2="0.3302" y2="1.778" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="1.778" x2="0.3302" y2="1.143" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="1.778" x2="1.651" y2="1.778" width="0.1524" layer="21"/>
+<wire x1="-3.683" y1="7.112" x2="-3.175" y2="7.62" width="0.1524" layer="21" curve="-90"/>
+<wire x1="3.175" y1="7.62" x2="3.683" y2="7.112" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-2.54" y1="0" x2="-2.54" y2="0.254" width="0.508" layer="51"/>
+<wire x1="2.54" y1="0" x2="2.54" y2="0.254" width="0.508" layer="51"/>
+<pad name="1" x="-2.54" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="2.54" y="0" drill="0.8128" shape="octagon"/>
+<text x="-3.302" y="8.001" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.175" y="3.175" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+<rectangle x1="-2.794" y1="0.127" x2="-2.286" y2="0.508" layer="51"/>
+<rectangle x1="2.286" y1="0.127" x2="2.794" y2="0.508" layer="51"/>
+</package>
+<package name="C075-032X103">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 7.5 mm, outline 3.2 x 10.3 mm</description>
+<wire x1="4.826" y1="1.524" x2="-4.826" y2="1.524" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="1.27" x2="-5.08" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-4.826" y1="-1.524" x2="4.826" y2="-1.524" width="0.1524" layer="21"/>
+<wire x1="5.08" y1="-1.27" x2="5.08" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="4.826" y1="1.524" x2="5.08" y2="1.27" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.826" y1="-1.524" x2="5.08" y2="-1.27" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.08" y1="-1.27" x2="-4.826" y2="-1.524" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.08" y1="1.27" x2="-4.826" y2="1.524" width="0.1524" layer="21" curve="-90"/>
+<wire x1="0.508" y1="0" x2="2.54" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.54" y1="0" x2="-0.508" y2="0" width="0.1524" layer="21"/>
+<wire x1="-0.508" y1="0.889" x2="-0.508" y2="0" width="0.4064" layer="21"/>
+<wire x1="-0.508" y1="0" x2="-0.508" y2="-0.889" width="0.4064" layer="21"/>
+<wire x1="0.508" y1="0.889" x2="0.508" y2="0" width="0.4064" layer="21"/>
+<wire x1="0.508" y1="0" x2="0.508" y2="-0.889" width="0.4064" layer="21"/>
+<pad name="1" x="-3.81" y="0" drill="0.9144" shape="octagon"/>
+<pad name="2" x="3.81" y="0" drill="0.9144" shape="octagon"/>
+<text x="-4.826" y="1.905" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-4.826" y="-3.048" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C075-042X103">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 7.5 mm, outline 4.2 x 10.3 mm</description>
+<wire x1="4.826" y1="2.032" x2="-4.826" y2="2.032" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="1.778" x2="-5.08" y2="-1.778" width="0.1524" layer="21"/>
+<wire x1="-4.826" y1="-2.032" x2="4.826" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="5.08" y1="-1.778" x2="5.08" y2="1.778" width="0.1524" layer="21"/>
+<wire x1="4.826" y1="2.032" x2="5.08" y2="1.778" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.826" y1="-2.032" x2="5.08" y2="-1.778" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.08" y1="-1.778" x2="-4.826" y2="-2.032" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.08" y1="1.778" x2="-4.826" y2="2.032" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-1.27" y1="0" x2="2.667" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.667" y1="0" x2="-2.159" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.159" y1="1.27" x2="-2.159" y2="0" width="0.4064" layer="21"/>
+<wire x1="-2.159" y1="0" x2="-2.159" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-1.27" y1="1.27" x2="-1.27" y2="0" width="0.4064" layer="21"/>
+<wire x1="-1.27" y1="0" x2="-1.27" y2="-1.27" width="0.4064" layer="21"/>
+<pad name="1" x="-3.81" y="0" drill="0.9144" shape="octagon"/>
+<pad name="2" x="3.81" y="0" drill="0.9144" shape="octagon"/>
+<text x="-4.699" y="2.413" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-0.635" y="-1.651" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C075-052X106">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 7.5 mm, outline 5.2 x 10.6 mm</description>
+<wire x1="4.953" y1="2.54" x2="-4.953" y2="2.54" width="0.1524" layer="21"/>
+<wire x1="-5.207" y1="2.286" x2="-5.207" y2="-2.286" width="0.1524" layer="21"/>
+<wire x1="-4.953" y1="-2.54" x2="4.953" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="5.207" y1="-2.286" x2="5.207" y2="2.286" width="0.1524" layer="21"/>
+<wire x1="4.953" y1="2.54" x2="5.207" y2="2.286" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.953" y1="-2.54" x2="5.207" y2="-2.286" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.207" y1="-2.286" x2="-4.953" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.207" y1="2.286" x2="-4.953" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-1.27" y1="0" x2="2.667" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.667" y1="0" x2="-2.159" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.159" y1="1.27" x2="-2.159" y2="0" width="0.4064" layer="21"/>
+<wire x1="-2.159" y1="0" x2="-2.159" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-1.27" y1="1.27" x2="-1.27" y2="0" width="0.4064" layer="21"/>
+<wire x1="-1.27" y1="0" x2="-1.27" y2="-1.27" width="0.4064" layer="21"/>
+<pad name="1" x="-3.81" y="0" drill="0.9144" shape="octagon"/>
+<pad name="2" x="3.81" y="0" drill="0.9144" shape="octagon"/>
+<text x="-4.826" y="2.921" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-0.635" y="-2.032" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C102-043X133">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 10.2 mm, outline 4.3 x 13.3 mm</description>
+<wire x1="-3.175" y1="1.27" x2="-3.175" y2="0" width="0.4064" layer="21"/>
+<wire x1="-2.286" y1="1.27" x2="-2.286" y2="0" width="0.4064" layer="21"/>
+<wire x1="3.81" y1="0" x2="-2.286" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.286" y1="0" x2="-2.286" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-3.81" y1="0" x2="-3.175" y2="0" width="0.1524" layer="21"/>
+<wire x1="-3.175" y1="0" x2="-3.175" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-6.096" y1="2.032" x2="6.096" y2="2.032" width="0.1524" layer="21"/>
+<wire x1="6.604" y1="1.524" x2="6.604" y2="-1.524" width="0.1524" layer="21"/>
+<wire x1="6.096" y1="-2.032" x2="-6.096" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="-6.604" y1="-1.524" x2="-6.604" y2="1.524" width="0.1524" layer="21"/>
+<wire x1="6.096" y1="2.032" x2="6.604" y2="1.524" width="0.1524" layer="21" curve="-90"/>
+<wire x1="6.096" y1="-2.032" x2="6.604" y2="-1.524" width="0.1524" layer="21" curve="90"/>
+<wire x1="-6.604" y1="-1.524" x2="-6.096" y2="-2.032" width="0.1524" layer="21" curve="90"/>
+<wire x1="-6.604" y1="1.524" x2="-6.096" y2="2.032" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-5.08" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="5.08" y="0" drill="1.016" shape="octagon"/>
+<text x="-6.096" y="2.413" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-1.524" y="-1.651" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C102-054X133">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 10.2 mm, outline 5.4 x 13.3 mm</description>
+<wire x1="-3.175" y1="1.27" x2="-3.175" y2="0" width="0.4064" layer="21"/>
+<wire x1="-2.286" y1="1.27" x2="-2.286" y2="0" width="0.4064" layer="21"/>
+<wire x1="3.81" y1="0" x2="-2.286" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.286" y1="0" x2="-2.286" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-3.81" y1="0" x2="-3.175" y2="0" width="0.1524" layer="21"/>
+<wire x1="-3.175" y1="0" x2="-3.175" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-6.096" y1="2.54" x2="6.096" y2="2.54" width="0.1524" layer="21"/>
+<wire x1="6.604" y1="2.032" x2="6.604" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="6.096" y1="-2.54" x2="-6.096" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="-6.604" y1="-2.032" x2="-6.604" y2="2.032" width="0.1524" layer="21"/>
+<wire x1="6.096" y1="2.54" x2="6.604" y2="2.032" width="0.1524" layer="21" curve="-90"/>
+<wire x1="6.096" y1="-2.54" x2="6.604" y2="-2.032" width="0.1524" layer="21" curve="90"/>
+<wire x1="-6.604" y1="-2.032" x2="-6.096" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-6.604" y1="2.032" x2="-6.096" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-5.08" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="5.08" y="0" drill="1.016" shape="octagon"/>
+<text x="-6.096" y="2.921" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-1.524" y="-1.905" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C102-064X133">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 10.2 mm, outline 6.4 x 13.3 mm</description>
+<wire x1="-3.175" y1="1.27" x2="-3.175" y2="0" width="0.4064" layer="21"/>
+<wire x1="-2.286" y1="1.27" x2="-2.286" y2="0" width="0.4064" layer="21"/>
+<wire x1="3.81" y1="0" x2="-2.286" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.286" y1="0" x2="-2.286" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-3.81" y1="0" x2="-3.175" y2="0" width="0.1524" layer="21"/>
+<wire x1="-3.175" y1="0" x2="-3.175" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-6.096" y1="3.048" x2="6.096" y2="3.048" width="0.1524" layer="21"/>
+<wire x1="6.604" y1="2.54" x2="6.604" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="6.096" y1="-3.048" x2="-6.096" y2="-3.048" width="0.1524" layer="21"/>
+<wire x1="-6.604" y1="-2.54" x2="-6.604" y2="2.54" width="0.1524" layer="21"/>
+<wire x1="6.096" y1="3.048" x2="6.604" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="6.096" y1="-3.048" x2="6.604" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-6.604" y1="-2.54" x2="-6.096" y2="-3.048" width="0.1524" layer="21" curve="90"/>
+<wire x1="-6.604" y1="2.54" x2="-6.096" y2="3.048" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-5.08" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="5.08" y="0" drill="1.016" shape="octagon"/>
+<text x="-6.096" y="3.429" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-1.524" y="-2.032" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C102_152-062X184">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 10.2 mm + 15.2 mm, outline 6.2 x 18.4 mm</description>
+<wire x1="-2.286" y1="1.27" x2="-2.286" y2="0" width="0.4064" layer="21"/>
+<wire x1="-2.286" y1="0" x2="-2.286" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-3.175" y1="1.27" x2="-3.175" y2="0" width="0.4064" layer="21"/>
+<wire x1="-3.175" y1="0" x2="-3.175" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-3.683" y1="0" x2="-3.175" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.286" y1="0" x2="3.683" y2="0" width="0.1524" layer="21"/>
+<wire x1="6.477" y1="0" x2="8.636" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.096" y1="3.048" x2="6.223" y2="3.048" width="0.1524" layer="21"/>
+<wire x1="6.223" y1="-3.048" x2="-6.096" y2="-3.048" width="0.1524" layer="21"/>
+<wire x1="-6.604" y1="-2.54" x2="-6.604" y2="2.54" width="0.1524" layer="21"/>
+<wire x1="6.223" y1="3.048" x2="6.731" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="6.223" y1="-3.048" x2="6.731" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-6.604" y1="-2.54" x2="-6.096" y2="-3.048" width="0.1524" layer="21" curve="90"/>
+<wire x1="-6.604" y1="2.54" x2="-6.096" y2="3.048" width="0.1524" layer="21" curve="-90"/>
+<wire x1="6.731" y1="2.54" x2="6.731" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="11.176" y1="3.048" x2="11.684" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="11.176" y1="-3.048" x2="11.684" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="11.176" y1="-3.048" x2="7.112" y2="-3.048" width="0.1524" layer="21"/>
+<wire x1="7.112" y1="3.048" x2="11.176" y2="3.048" width="0.1524" layer="21"/>
+<wire x1="11.684" y1="2.54" x2="11.684" y2="-2.54" width="0.1524" layer="21"/>
+<pad name="1" x="-5.08" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="5.08" y="0" drill="1.016" shape="octagon"/>
+<pad name="3" x="10.033" y="0" drill="1.016" shape="octagon"/>
+<text x="-5.969" y="3.429" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-1.524" y="-2.286" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C150-054X183">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 15 mm, outline 5.4 x 18.3 mm</description>
+<wire x1="-5.08" y1="1.27" x2="-5.08" y2="0" width="0.4064" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-5.08" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="1.27" x2="-4.191" y2="0" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="-4.191" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="9.017" y1="2.032" x2="9.017" y2="-2.032" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="-2.54" x2="-8.509" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="-9.017" y1="-2.032" x2="-9.017" y2="2.032" width="0.1524" layer="21"/>
+<wire x1="-8.509" y1="2.54" x2="8.509" y2="2.54" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="2.54" x2="9.017" y2="2.032" width="0.1524" layer="21" curve="-90"/>
+<wire x1="8.509" y1="-2.54" x2="9.017" y2="-2.032" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="-2.032" x2="-8.509" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="2.032" x2="-8.509" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-7.493" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="7.493" y="0" drill="1.016" shape="octagon"/>
+<text x="-8.382" y="2.921" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.429" y="-2.032" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C150-064X183">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 15 mm, outline 6.4 x 18.3 mm</description>
+<wire x1="-5.08" y1="1.27" x2="-5.08" y2="0" width="0.4064" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-5.08" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="1.27" x2="-4.191" y2="0" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="-4.191" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="9.017" y1="2.54" x2="9.017" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="-3.048" x2="-8.509" y2="-3.048" width="0.1524" layer="21"/>
+<wire x1="-9.017" y1="-2.54" x2="-9.017" y2="2.54" width="0.1524" layer="21"/>
+<wire x1="-8.509" y1="3.048" x2="8.509" y2="3.048" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="3.048" x2="9.017" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="8.509" y1="-3.048" x2="9.017" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="-2.54" x2="-8.509" y2="-3.048" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="2.54" x2="-8.509" y2="3.048" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-7.493" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="7.493" y="0" drill="1.016" shape="octagon"/>
+<text x="-8.509" y="3.429" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.429" y="-2.032" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C150-072X183">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 15 mm, outline 7.2 x 18.3 mm</description>
+<wire x1="-5.08" y1="1.27" x2="-5.08" y2="0" width="0.4064" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-5.08" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="1.27" x2="-4.191" y2="0" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="-4.191" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="9.017" y1="3.048" x2="9.017" y2="-3.048" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="-3.556" x2="-8.509" y2="-3.556" width="0.1524" layer="21"/>
+<wire x1="-9.017" y1="-3.048" x2="-9.017" y2="3.048" width="0.1524" layer="21"/>
+<wire x1="-8.509" y1="3.556" x2="8.509" y2="3.556" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="3.556" x2="9.017" y2="3.048" width="0.1524" layer="21" curve="-90"/>
+<wire x1="8.509" y1="-3.556" x2="9.017" y2="-3.048" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="-3.048" x2="-8.509" y2="-3.556" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="3.048" x2="-8.509" y2="3.556" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-7.493" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="7.493" y="0" drill="1.016" shape="octagon"/>
+<text x="-8.509" y="3.937" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.429" y="-2.286" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C150-084X183">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 15 mm, outline 8.4 x 18.3 mm</description>
+<wire x1="-5.08" y1="1.27" x2="-5.08" y2="0" width="0.4064" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-5.08" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="1.27" x2="-4.191" y2="0" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="-4.191" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="9.017" y1="3.556" x2="9.017" y2="-3.556" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="-4.064" x2="-8.509" y2="-4.064" width="0.1524" layer="21"/>
+<wire x1="-9.017" y1="-3.556" x2="-9.017" y2="3.556" width="0.1524" layer="21"/>
+<wire x1="-8.509" y1="4.064" x2="8.509" y2="4.064" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="4.064" x2="9.017" y2="3.556" width="0.1524" layer="21" curve="-90"/>
+<wire x1="8.509" y1="-4.064" x2="9.017" y2="-3.556" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="-3.556" x2="-8.509" y2="-4.064" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="3.556" x2="-8.509" y2="4.064" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-7.493" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="7.493" y="0" drill="1.016" shape="octagon"/>
+<text x="-8.509" y="4.445" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.429" y="-2.54" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C150-091X182">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 15 mm, outline 9.1 x 18.2 mm</description>
+<wire x1="-5.08" y1="1.27" x2="-5.08" y2="0" width="0.4064" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-5.08" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="1.27" x2="-4.191" y2="0" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="-4.191" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-4.191" y1="0" x2="6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0" x2="-6.096" y2="0" width="0.1524" layer="21"/>
+<wire x1="9.017" y1="3.937" x2="9.017" y2="-3.937" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="-4.445" x2="-8.509" y2="-4.445" width="0.1524" layer="21"/>
+<wire x1="-9.017" y1="-3.937" x2="-9.017" y2="3.937" width="0.1524" layer="21"/>
+<wire x1="-8.509" y1="4.445" x2="8.509" y2="4.445" width="0.1524" layer="21"/>
+<wire x1="8.509" y1="4.445" x2="9.017" y2="3.937" width="0.1524" layer="21" curve="-90"/>
+<wire x1="8.509" y1="-4.445" x2="9.017" y2="-3.937" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="-3.937" x2="-8.509" y2="-4.445" width="0.1524" layer="21" curve="90"/>
+<wire x1="-9.017" y1="3.937" x2="-8.509" y2="4.445" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-7.493" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="7.493" y="0" drill="1.016" shape="octagon"/>
+<text x="-8.509" y="4.826" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.429" y="-2.54" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C225-062X268">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 22.5 mm, outline 6.2 x 26.8 mm</description>
+<wire x1="-12.827" y1="3.048" x2="12.827" y2="3.048" width="0.1524" layer="21"/>
+<wire x1="13.335" y1="2.54" x2="13.335" y2="-2.54" width="0.1524" layer="21"/>
+<wire x1="12.827" y1="-3.048" x2="-12.827" y2="-3.048" width="0.1524" layer="21"/>
+<wire x1="-13.335" y1="-2.54" x2="-13.335" y2="2.54" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="12.827" y1="3.048" x2="13.335" y2="2.54" width="0.1524" layer="21" curve="-90"/>
+<wire x1="12.827" y1="-3.048" x2="13.335" y2="-2.54" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="-2.54" x2="-12.827" y2="-3.048" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="2.54" x2="-12.827" y2="3.048" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-9.652" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="9.652" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-11.303" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="11.303" y="0" drill="1.016" shape="octagon"/>
+<text x="-12.7" y="3.429" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C225-074X268">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 22.5 mm, outline 7.4 x 26.8 mm</description>
+<wire x1="-12.827" y1="3.556" x2="12.827" y2="3.556" width="0.1524" layer="21"/>
+<wire x1="13.335" y1="3.048" x2="13.335" y2="-3.048" width="0.1524" layer="21"/>
+<wire x1="12.827" y1="-3.556" x2="-12.827" y2="-3.556" width="0.1524" layer="21"/>
+<wire x1="-13.335" y1="-3.048" x2="-13.335" y2="3.048" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="12.827" y1="3.556" x2="13.335" y2="3.048" width="0.1524" layer="21" curve="-90"/>
+<wire x1="12.827" y1="-3.556" x2="13.335" y2="-3.048" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="-3.048" x2="-12.827" y2="-3.556" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="3.048" x2="-12.827" y2="3.556" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-9.652" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="9.652" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-11.303" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="11.303" y="0" drill="1.016" shape="octagon"/>
+<text x="-12.827" y="3.937" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C225-087X268">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 22.5 mm, outline 8.7 x 26.8 mm</description>
+<wire x1="-12.827" y1="4.318" x2="12.827" y2="4.318" width="0.1524" layer="21"/>
+<wire x1="13.335" y1="3.81" x2="13.335" y2="-3.81" width="0.1524" layer="21"/>
+<wire x1="12.827" y1="-4.318" x2="-12.827" y2="-4.318" width="0.1524" layer="21"/>
+<wire x1="-13.335" y1="-3.81" x2="-13.335" y2="3.81" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="12.827" y1="4.318" x2="13.335" y2="3.81" width="0.1524" layer="21" curve="-90"/>
+<wire x1="12.827" y1="-4.318" x2="13.335" y2="-3.81" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="-3.81" x2="-12.827" y2="-4.318" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="3.81" x2="-12.827" y2="4.318" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-9.652" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="9.652" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-11.303" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="11.303" y="0" drill="1.016" shape="octagon"/>
+<text x="-12.827" y="4.699" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C225-108X268">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 22.5 mm, outline 10.8 x 26.8 mm</description>
+<wire x1="-12.827" y1="5.334" x2="12.827" y2="5.334" width="0.1524" layer="21"/>
+<wire x1="13.335" y1="4.826" x2="13.335" y2="-4.826" width="0.1524" layer="21"/>
+<wire x1="12.827" y1="-5.334" x2="-12.827" y2="-5.334" width="0.1524" layer="21"/>
+<wire x1="-13.335" y1="-4.826" x2="-13.335" y2="4.826" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="12.827" y1="5.334" x2="13.335" y2="4.826" width="0.1524" layer="21" curve="-90"/>
+<wire x1="12.827" y1="-5.334" x2="13.335" y2="-4.826" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="-4.826" x2="-12.827" y2="-5.334" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="4.826" x2="-12.827" y2="5.334" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-9.652" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="9.652" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-11.303" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="11.303" y="0" drill="1.016" shape="octagon"/>
+<text x="-12.954" y="5.715" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C225-113X268">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 22.5 mm, outline 11.3 x 26.8 mm</description>
+<wire x1="-12.827" y1="5.588" x2="12.827" y2="5.588" width="0.1524" layer="21"/>
+<wire x1="13.335" y1="5.08" x2="13.335" y2="-5.08" width="0.1524" layer="21"/>
+<wire x1="12.827" y1="-5.588" x2="-12.827" y2="-5.588" width="0.1524" layer="21"/>
+<wire x1="-13.335" y1="-5.08" x2="-13.335" y2="5.08" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="12.827" y1="5.588" x2="13.335" y2="5.08" width="0.1524" layer="21" curve="-90"/>
+<wire x1="12.827" y1="-5.588" x2="13.335" y2="-5.08" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="-5.08" x2="-12.827" y2="-5.588" width="0.1524" layer="21" curve="90"/>
+<wire x1="-13.335" y1="5.08" x2="-12.827" y2="5.588" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-9.652" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="9.652" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-11.303" y="0" drill="1.016" shape="octagon"/>
+<pad name="2" x="11.303" y="0" drill="1.016" shape="octagon"/>
+<text x="-12.954" y="5.969" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C275-093X316">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 27.5 mm, outline 9.3 x 31.6 mm</description>
+<wire x1="-15.24" y1="4.572" x2="15.24" y2="4.572" width="0.1524" layer="21"/>
+<wire x1="15.748" y1="4.064" x2="15.748" y2="-4.064" width="0.1524" layer="21"/>
+<wire x1="15.24" y1="-4.572" x2="-15.24" y2="-4.572" width="0.1524" layer="21"/>
+<wire x1="-15.748" y1="-4.064" x2="-15.748" y2="4.064" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="15.24" y1="4.572" x2="15.748" y2="4.064" width="0.1524" layer="21" curve="-90"/>
+<wire x1="15.24" y1="-4.572" x2="15.748" y2="-4.064" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="-4.064" x2="-15.24" y2="-4.572" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="4.064" x2="-15.24" y2="4.572" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-11.557" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="11.557" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-13.716" y="0" drill="1.1938" shape="octagon"/>
+<pad name="2" x="13.716" y="0" drill="1.1938" shape="octagon"/>
+<text x="-15.24" y="4.953" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C275-113X316">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 27.5 mm, outline 11.3 x 31.6 mm</description>
+<wire x1="-15.24" y1="5.588" x2="15.24" y2="5.588" width="0.1524" layer="21"/>
+<wire x1="15.748" y1="5.08" x2="15.748" y2="-5.08" width="0.1524" layer="21"/>
+<wire x1="15.24" y1="-5.588" x2="-15.24" y2="-5.588" width="0.1524" layer="21"/>
+<wire x1="-15.748" y1="-5.08" x2="-15.748" y2="5.08" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="15.24" y1="5.588" x2="15.748" y2="5.08" width="0.1524" layer="21" curve="-90"/>
+<wire x1="15.24" y1="-5.588" x2="15.748" y2="-5.08" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="-5.08" x2="-15.24" y2="-5.588" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="5.08" x2="-15.24" y2="5.588" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-11.557" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="11.557" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-13.716" y="0" drill="1.1938" shape="octagon"/>
+<pad name="2" x="13.716" y="0" drill="1.1938" shape="octagon"/>
+<text x="-15.24" y="5.969" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C275-134X316">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 27.5 mm, outline 13.4 x 31.6 mm</description>
+<wire x1="-15.24" y1="6.604" x2="15.24" y2="6.604" width="0.1524" layer="21"/>
+<wire x1="15.748" y1="6.096" x2="15.748" y2="-6.096" width="0.1524" layer="21"/>
+<wire x1="15.24" y1="-6.604" x2="-15.24" y2="-6.604" width="0.1524" layer="21"/>
+<wire x1="-15.748" y1="-6.096" x2="-15.748" y2="6.096" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="15.24" y1="6.604" x2="15.748" y2="6.096" width="0.1524" layer="21" curve="-90"/>
+<wire x1="15.24" y1="-6.604" x2="15.748" y2="-6.096" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="-6.096" x2="-15.24" y2="-6.604" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="6.096" x2="-15.24" y2="6.604" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-11.557" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="11.557" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-13.716" y="0" drill="1.1938" shape="octagon"/>
+<pad name="2" x="13.716" y="0" drill="1.1938" shape="octagon"/>
+<text x="-15.24" y="6.985" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C275-205X316">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 27.5 mm, outline 20.5 x 31.6 mm</description>
+<wire x1="-15.24" y1="10.16" x2="15.24" y2="10.16" width="0.1524" layer="21"/>
+<wire x1="15.748" y1="9.652" x2="15.748" y2="-9.652" width="0.1524" layer="21"/>
+<wire x1="15.24" y1="-10.16" x2="-15.24" y2="-10.16" width="0.1524" layer="21"/>
+<wire x1="-15.748" y1="-9.652" x2="-15.748" y2="9.652" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="15.24" y1="10.16" x2="15.748" y2="9.652" width="0.1524" layer="21" curve="-90"/>
+<wire x1="15.24" y1="-10.16" x2="15.748" y2="-9.652" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="-9.652" x2="-15.24" y2="-10.16" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="9.652" x2="-15.24" y2="10.16" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-11.557" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="11.557" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-13.716" y="0" drill="1.1938" shape="octagon"/>
+<pad name="2" x="13.716" y="0" drill="1.1938" shape="octagon"/>
+<text x="-15.24" y="10.541" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-4.318" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C325-137X374">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 32.5 mm, outline 13.7 x 37.4 mm</description>
+<wire x1="-14.2748" y1="0" x2="-12.7" y2="0" width="0.1524" layer="21"/>
+<wire x1="-12.7" y1="1.905" x2="-12.7" y2="0" width="0.4064" layer="21"/>
+<wire x1="-11.811" y1="1.905" x2="-11.811" y2="0" width="0.4064" layer="21"/>
+<wire x1="-11.811" y1="0" x2="14.2748" y2="0" width="0.1524" layer="21"/>
+<wire x1="-11.811" y1="0" x2="-11.811" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-12.7" y1="0" x2="-12.7" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="18.542" y1="6.731" x2="18.542" y2="-6.731" width="0.1524" layer="21"/>
+<wire x1="-18.542" y1="6.731" x2="-18.542" y2="-6.731" width="0.1524" layer="21"/>
+<wire x1="-18.542" y1="-6.731" x2="18.542" y2="-6.731" width="0.1524" layer="21"/>
+<wire x1="18.542" y1="6.731" x2="-18.542" y2="6.731" width="0.1524" layer="21"/>
+<pad name="1" x="-16.256" y="0" drill="1.1938" shape="octagon"/>
+<pad name="2" x="16.256" y="0" drill="1.1938" shape="octagon"/>
+<text x="-18.2372" y="7.0612" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-10.8458" y="-2.8702" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C325-162X374">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 32.5 mm, outline 16.2 x 37.4 mm</description>
+<wire x1="-14.2748" y1="0" x2="-12.7" y2="0" width="0.1524" layer="21"/>
+<wire x1="-12.7" y1="1.905" x2="-12.7" y2="0" width="0.4064" layer="21"/>
+<wire x1="-11.811" y1="1.905" x2="-11.811" y2="0" width="0.4064" layer="21"/>
+<wire x1="-11.811" y1="0" x2="14.2748" y2="0" width="0.1524" layer="21"/>
+<wire x1="-11.811" y1="0" x2="-11.811" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-12.7" y1="0" x2="-12.7" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="18.542" y1="8.001" x2="18.542" y2="-8.001" width="0.1524" layer="21"/>
+<wire x1="-18.542" y1="8.001" x2="-18.542" y2="-8.001" width="0.1524" layer="21"/>
+<wire x1="-18.542" y1="-8.001" x2="18.542" y2="-8.001" width="0.1524" layer="21"/>
+<wire x1="18.542" y1="8.001" x2="-18.542" y2="8.001" width="0.1524" layer="21"/>
+<pad name="1" x="-16.256" y="0" drill="1.1938" shape="octagon"/>
+<pad name="2" x="16.256" y="0" drill="1.1938" shape="octagon"/>
+<text x="-18.3642" y="8.3312" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-10.8458" y="-2.8702" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C325-182X374">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 32.5 mm, outline 18.2 x 37.4 mm</description>
+<wire x1="-14.2748" y1="0" x2="-12.7" y2="0" width="0.1524" layer="21"/>
+<wire x1="-12.7" y1="1.905" x2="-12.7" y2="0" width="0.4064" layer="21"/>
+<wire x1="-11.811" y1="1.905" x2="-11.811" y2="0" width="0.4064" layer="21"/>
+<wire x1="-11.811" y1="0" x2="14.2748" y2="0" width="0.1524" layer="21"/>
+<wire x1="-11.811" y1="0" x2="-11.811" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-12.7" y1="0" x2="-12.7" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="18.542" y1="9.017" x2="18.542" y2="-9.017" width="0.1524" layer="21"/>
+<wire x1="-18.542" y1="9.017" x2="-18.542" y2="-9.017" width="0.1524" layer="21"/>
+<wire x1="-18.542" y1="-9.017" x2="18.542" y2="-9.017" width="0.1524" layer="21"/>
+<wire x1="18.542" y1="9.017" x2="-18.542" y2="9.017" width="0.1524" layer="21"/>
+<pad name="1" x="-16.256" y="0" drill="1.1938" shape="octagon"/>
+<pad name="2" x="16.256" y="0" drill="1.1938" shape="octagon"/>
+<text x="-18.3642" y="9.3472" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-10.8458" y="-2.8702" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C375-192X418">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 37.5 mm, outline 19.2 x 41.8 mm</description>
+<wire x1="-20.32" y1="8.509" x2="20.32" y2="8.509" width="0.1524" layer="21"/>
+<wire x1="20.828" y1="8.001" x2="20.828" y2="-8.001" width="0.1524" layer="21"/>
+<wire x1="20.32" y1="-8.509" x2="-20.32" y2="-8.509" width="0.1524" layer="21"/>
+<wire x1="-20.828" y1="-8.001" x2="-20.828" y2="8.001" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="20.32" y1="8.509" x2="20.828" y2="8.001" width="0.1524" layer="21" curve="-90"/>
+<wire x1="20.32" y1="-8.509" x2="20.828" y2="-8.001" width="0.1524" layer="21" curve="90"/>
+<wire x1="-20.828" y1="-8.001" x2="-20.32" y2="-8.509" width="0.1524" layer="21" curve="90"/>
+<wire x1="-20.828" y1="8.001" x2="-20.32" y2="8.509" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-16.002" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="16.002" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-18.796" y="0" drill="1.3208" shape="octagon"/>
+<pad name="2" x="18.796" y="0" drill="1.3208" shape="octagon"/>
+<text x="-20.447" y="8.89" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C375-203X418">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 37.5 mm, outline 20.3 x 41.8 mm</description>
+<wire x1="-20.32" y1="10.16" x2="20.32" y2="10.16" width="0.1524" layer="21"/>
+<wire x1="20.828" y1="9.652" x2="20.828" y2="-9.652" width="0.1524" layer="21"/>
+<wire x1="20.32" y1="-10.16" x2="-20.32" y2="-10.16" width="0.1524" layer="21"/>
+<wire x1="-20.828" y1="-9.652" x2="-20.828" y2="9.652" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="20.32" y1="10.16" x2="20.828" y2="9.652" width="0.1524" layer="21" curve="-90"/>
+<wire x1="20.32" y1="-10.16" x2="20.828" y2="-9.652" width="0.1524" layer="21" curve="90"/>
+<wire x1="-20.828" y1="-9.652" x2="-20.32" y2="-10.16" width="0.1524" layer="21" curve="90"/>
+<wire x1="-20.828" y1="9.652" x2="-20.32" y2="10.16" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-16.002" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="16.002" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-18.796" y="0" drill="1.3208" shape="octagon"/>
+<pad name="2" x="18.796" y="0" drill="1.3208" shape="octagon"/>
+<text x="-20.32" y="10.541" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C050-035X075">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 5 mm, outline 3.5 x 7.5 mm</description>
+<wire x1="-0.3048" y1="0.635" x2="-0.3048" y2="0" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-0.3048" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="-0.3048" y1="0" x2="-1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="0.3302" y1="0.635" x2="0.3302" y2="0" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="0.3302" y2="-0.635" width="0.3048" layer="21"/>
+<wire x1="0.3302" y1="0" x2="1.524" y2="0" width="0.1524" layer="21"/>
+<wire x1="-3.683" y1="1.524" x2="-3.683" y2="-1.524" width="0.1524" layer="21"/>
+<wire x1="-3.429" y1="-1.778" x2="3.429" y2="-1.778" width="0.1524" layer="21"/>
+<wire x1="3.683" y1="-1.524" x2="3.683" y2="1.524" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="1.778" x2="-3.429" y2="1.778" width="0.1524" layer="21"/>
+<wire x1="3.429" y1="1.778" x2="3.683" y2="1.524" width="0.1524" layer="21" curve="-90"/>
+<wire x1="3.429" y1="-1.778" x2="3.683" y2="-1.524" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="-1.524" x2="-3.429" y2="-1.778" width="0.1524" layer="21" curve="90"/>
+<wire x1="-3.683" y1="1.524" x2="-3.429" y2="1.778" width="0.1524" layer="21" curve="-90"/>
+<pad name="1" x="-2.54" y="0" drill="0.8128" shape="octagon"/>
+<pad name="2" x="2.54" y="0" drill="0.8128" shape="octagon"/>
+<text x="-3.556" y="2.159" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-3.556" y="-3.429" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C375-155X418">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 37.5 mm, outline 15.5 x 41.8 mm</description>
+<wire x1="-20.32" y1="7.62" x2="20.32" y2="7.62" width="0.1524" layer="21"/>
+<wire x1="20.828" y1="7.112" x2="20.828" y2="-7.112" width="0.1524" layer="21"/>
+<wire x1="20.32" y1="-7.62" x2="-20.32" y2="-7.62" width="0.1524" layer="21"/>
+<wire x1="-20.828" y1="-7.112" x2="-20.828" y2="7.112" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="20.32" y1="7.62" x2="20.828" y2="7.112" width="0.1524" layer="21" curve="-90"/>
+<wire x1="20.32" y1="-7.62" x2="20.828" y2="-7.112" width="0.1524" layer="21" curve="90"/>
+<wire x1="-20.828" y1="-7.112" x2="-20.32" y2="-7.62" width="0.1524" layer="21" curve="90"/>
+<wire x1="-20.828" y1="7.112" x2="-20.32" y2="7.62" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-16.002" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="16.002" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-18.796" y="0" drill="1.3208" shape="octagon"/>
+<pad name="2" x="18.796" y="0" drill="1.3208" shape="octagon"/>
+<text x="-20.447" y="8.001" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C075-063X106">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 7.5 mm, outline 6.3 x 10.6 mm</description>
+<wire x1="4.953" y1="3.048" x2="-4.953" y2="3.048" width="0.1524" layer="21"/>
+<wire x1="-5.207" y1="2.794" x2="-5.207" y2="-2.794" width="0.1524" layer="21"/>
+<wire x1="-4.953" y1="-3.048" x2="4.953" y2="-3.048" width="0.1524" layer="21"/>
+<wire x1="5.207" y1="-2.794" x2="5.207" y2="2.794" width="0.1524" layer="21"/>
+<wire x1="4.953" y1="3.048" x2="5.207" y2="2.794" width="0.1524" layer="21" curve="-90"/>
+<wire x1="4.953" y1="-3.048" x2="5.207" y2="-2.794" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.207" y1="-2.794" x2="-4.953" y2="-3.048" width="0.1524" layer="21" curve="90"/>
+<wire x1="-5.207" y1="2.794" x2="-4.953" y2="3.048" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-1.27" y1="0" x2="2.667" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.667" y1="0" x2="-2.159" y2="0" width="0.1524" layer="21"/>
+<wire x1="-2.159" y1="1.27" x2="-2.159" y2="0" width="0.4064" layer="21"/>
+<wire x1="-2.159" y1="0" x2="-2.159" y2="-1.27" width="0.4064" layer="21"/>
+<wire x1="-1.27" y1="1.27" x2="-1.27" y2="0" width="0.4064" layer="21"/>
+<wire x1="-1.27" y1="0" x2="-1.27" y2="-1.27" width="0.4064" layer="21"/>
+<pad name="1" x="-3.81" y="0" drill="0.9144" shape="octagon"/>
+<pad name="2" x="3.81" y="0" drill="0.9144" shape="octagon"/>
+<text x="-4.826" y="3.429" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-0.635" y="-2.54" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C275-154X316">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 27.5 mm, outline 15.4 x 31.6 mm</description>
+<wire x1="-15.24" y1="7.62" x2="15.24" y2="7.62" width="0.1524" layer="21"/>
+<wire x1="15.748" y1="7.112" x2="15.748" y2="-7.112" width="0.1524" layer="21"/>
+<wire x1="15.24" y1="-7.62" x2="-15.24" y2="-7.62" width="0.1524" layer="21"/>
+<wire x1="-15.748" y1="-7.112" x2="-15.748" y2="7.112" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="15.24" y1="7.62" x2="15.748" y2="7.112" width="0.1524" layer="21" curve="-90"/>
+<wire x1="15.24" y1="-7.62" x2="15.748" y2="-7.112" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="-7.112" x2="-15.24" y2="-7.62" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="7.112" x2="-15.24" y2="7.62" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-11.557" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="11.557" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-13.716" y="0" drill="1.1938" shape="octagon"/>
+<pad name="2" x="13.716" y="0" drill="1.1938" shape="octagon"/>
+<text x="-15.24" y="8.001" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C275-173X316">
+<description>&lt;b&gt;CAPACITOR&lt;/b&gt;&lt;p&gt;
+grid 27.5 mm, outline 17.3 x 31.6 mm</description>
+<wire x1="-15.24" y1="8.509" x2="15.24" y2="8.509" width="0.1524" layer="21"/>
+<wire x1="15.748" y1="8.001" x2="15.748" y2="-8.001" width="0.1524" layer="21"/>
+<wire x1="15.24" y1="-8.509" x2="-15.24" y2="-8.509" width="0.1524" layer="21"/>
+<wire x1="-15.748" y1="-8.001" x2="-15.748" y2="8.001" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="1.905" x2="-6.731" y2="0" width="0.4064" layer="21"/>
+<wire x1="-6.731" y1="0" x2="-6.731" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="1.905" x2="-7.62" y2="0" width="0.4064" layer="21"/>
+<wire x1="-7.62" y1="0" x2="-7.62" y2="-1.905" width="0.4064" layer="21"/>
+<wire x1="15.24" y1="8.509" x2="15.748" y2="8.001" width="0.1524" layer="21" curve="-90"/>
+<wire x1="15.24" y1="-8.509" x2="15.748" y2="-8.001" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="-8.001" x2="-15.24" y2="-8.509" width="0.1524" layer="21" curve="90"/>
+<wire x1="-15.748" y1="8.001" x2="-15.24" y2="8.509" width="0.1524" layer="21" curve="-90"/>
+<wire x1="-11.557" y1="0" x2="-7.62" y2="0" width="0.1524" layer="21"/>
+<wire x1="-6.731" y1="0" x2="11.557" y2="0" width="0.1524" layer="21"/>
+<pad name="1" x="-13.716" y="0" drill="1.1938" shape="octagon"/>
+<pad name="2" x="13.716" y="0" drill="1.1938" shape="octagon"/>
+<text x="-15.24" y="8.89" size="1.778" layer="25" ratio="10">&gt;NAME</text>
+<text x="-5.08" y="-2.54" size="1.778" layer="27" ratio="10">&gt;VALUE</text>
+</package>
+<package name="C0402K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 0204 Reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 1005</description>
+<wire x1="-0.425" y1="0.2" x2="0.425" y2="0.2" width="0.1016" layer="51"/>
+<wire x1="0.425" y1="-0.2" x2="-0.425" y2="-0.2" width="0.1016" layer="51"/>
+<smd name="1" x="-0.6" y="0" dx="0.925" dy="0.74" layer="1"/>
+<smd name="2" x="0.6" y="0" dx="0.925" dy="0.74" layer="1"/>
+<text x="-0.5" y="0.425" size="1.016" layer="25">&gt;NAME</text>
+<text x="-0.5" y="-1.45" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-0.5" y1="-0.25" x2="-0.225" y2="0.25" layer="51"/>
+<rectangle x1="0.225" y1="-0.25" x2="0.5" y2="0.25" layer="51"/>
+</package>
+<package name="C0603K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 0603 Reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 1608</description>
+<wire x1="-0.725" y1="0.35" x2="0.725" y2="0.35" width="0.1016" layer="51"/>
+<wire x1="0.725" y1="-0.35" x2="-0.725" y2="-0.35" width="0.1016" layer="51"/>
+<smd name="1" x="-0.875" y="0" dx="1.05" dy="1.08" layer="1"/>
+<smd name="2" x="0.875" y="0" dx="1.05" dy="1.08" layer="1"/>
+<text x="-0.8" y="0.65" size="1.016" layer="25">&gt;NAME</text>
+<text x="-0.8" y="-1.65" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-0.8" y1="-0.4" x2="-0.45" y2="0.4" layer="51"/>
+<rectangle x1="0.45" y1="-0.4" x2="0.8" y2="0.4" layer="51"/>
+</package>
+<package name="C0805K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 0805 Reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 2012</description>
+<wire x1="-0.925" y1="0.6" x2="0.925" y2="0.6" width="0.1016" layer="51"/>
+<wire x1="0.925" y1="-0.6" x2="-0.925" y2="-0.6" width="0.1016" layer="51"/>
+<smd name="1" x="-1" y="0" dx="1.3" dy="1.6" layer="1"/>
+<smd name="2" x="1" y="0" dx="1.3" dy="1.6" layer="1"/>
+<text x="-1" y="0.875" size="1.016" layer="25">&gt;NAME</text>
+<text x="-1" y="-1.9" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-1" y1="-0.65" x2="-0.5" y2="0.65" layer="51"/>
+<rectangle x1="0.5" y1="-0.65" x2="1" y2="0.65" layer="51"/>
+</package>
+<package name="C1206K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 1206 Reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 3216</description>
+<wire x1="-1.525" y1="0.75" x2="1.525" y2="0.75" width="0.1016" layer="51"/>
+<wire x1="1.525" y1="-0.75" x2="-1.525" y2="-0.75" width="0.1016" layer="51"/>
+<smd name="1" x="-1.5" y="0" dx="1.5" dy="2" layer="1"/>
+<smd name="2" x="1.5" y="0" dx="1.5" dy="2" layer="1"/>
+<text x="-1.6" y="1.1" size="1.016" layer="25">&gt;NAME</text>
+<text x="-1.6" y="-2.1" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.6" y1="-0.8" x2="-1.1" y2="0.8" layer="51"/>
+<rectangle x1="1.1" y1="-0.8" x2="1.6" y2="0.8" layer="51"/>
+</package>
+<package name="C1210K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 1210 Reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 3225</description>
+<wire x1="-1.525" y1="1.175" x2="1.525" y2="1.175" width="0.1016" layer="51"/>
+<wire x1="1.525" y1="-1.175" x2="-1.525" y2="-1.175" width="0.1016" layer="51"/>
+<smd name="1" x="-1.5" y="0" dx="1.5" dy="2.9" layer="1"/>
+<smd name="2" x="1.5" y="0" dx="1.5" dy="2.9" layer="1"/>
+<text x="-1.6" y="1.55" size="1.016" layer="25">&gt;NAME</text>
+<text x="-1.6" y="-2.575" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.6" y1="-1.25" x2="-1.1" y2="1.25" layer="51"/>
+<rectangle x1="1.1" y1="-1.25" x2="1.6" y2="1.25" layer="51"/>
+</package>
+<package name="C1812K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 1812 Reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 4532</description>
+<wire x1="-2.175" y1="1.525" x2="2.175" y2="1.525" width="0.1016" layer="51"/>
+<wire x1="2.175" y1="-1.525" x2="-2.175" y2="-1.525" width="0.1016" layer="51"/>
+<smd name="1" x="-2.05" y="0" dx="1.8" dy="3.7" layer="1"/>
+<smd name="2" x="2.05" y="0" dx="1.8" dy="3.7" layer="1"/>
+<text x="-2.25" y="1.95" size="1.016" layer="25">&gt;NAME</text>
+<text x="-2.25" y="-2.975" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-2.25" y1="-1.6" x2="-1.65" y2="1.6" layer="51"/>
+<rectangle x1="1.65" y1="-1.6" x2="2.25" y2="1.6" layer="51"/>
+</package>
+<package name="C1825K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 1825 Reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 4564</description>
+<wire x1="-1.525" y1="3.125" x2="1.525" y2="3.125" width="0.1016" layer="51"/>
+<wire x1="1.525" y1="-3.125" x2="-1.525" y2="-3.125" width="0.1016" layer="51"/>
+<smd name="1" x="-1.5" y="0" dx="1.8" dy="6.9" layer="1"/>
+<smd name="2" x="1.5" y="0" dx="1.8" dy="6.9" layer="1"/>
+<text x="-1.6" y="3.55" size="1.016" layer="25">&gt;NAME</text>
+<text x="-1.6" y="-4.625" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-1.6" y1="-3.2" x2="-1.1" y2="3.2" layer="51"/>
+<rectangle x1="1.1" y1="-3.2" x2="1.6" y2="3.2" layer="51"/>
+</package>
+<package name="C2220K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 2220 Reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 5650</description>
+<wire x1="-2.725" y1="2.425" x2="2.725" y2="2.425" width="0.1016" layer="51"/>
+<wire x1="2.725" y1="-2.425" x2="-2.725" y2="-2.425" width="0.1016" layer="51"/>
+<smd name="1" x="-2.55" y="0" dx="1.85" dy="5.5" layer="1"/>
+<smd name="2" x="2.55" y="0" dx="1.85" dy="5.5" layer="1"/>
+<text x="-2.8" y="2.95" size="1.016" layer="25">&gt;NAME</text>
+<text x="-2.8" y="-3.975" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-2.8" y1="-2.5" x2="-2.2" y2="2.5" layer="51"/>
+<rectangle x1="2.2" y1="-2.5" x2="2.8" y2="2.5" layer="51"/>
+</package>
+<package name="C2225K">
+<description>&lt;b&gt;Ceramic Chip Capacitor KEMET 2225 Reflow solder&lt;/b&gt;&lt;p&gt;
+Metric Code Size 5664</description>
+<wire x1="-2.725" y1="3.075" x2="2.725" y2="3.075" width="0.1016" layer="51"/>
+<wire x1="2.725" y1="-3.075" x2="-2.725" y2="-3.075" width="0.1016" layer="51"/>
+<smd name="1" x="-2.55" y="0" dx="1.85" dy="6.8" layer="1"/>
+<smd name="2" x="2.55" y="0" dx="1.85" dy="6.8" layer="1"/>
+<text x="-2.8" y="3.6" size="1.016" layer="25">&gt;NAME</text>
+<text x="-2.8" y="-4.575" size="1.016" layer="27">&gt;VALUE</text>
+<rectangle x1="-2.8" y1="-3.15" x2="-2.2" y2="3.15" layer="51"/>
+<rectangle x1="2.2" y1="-3.15" x2="2.8" y2="3.15" layer="51"/>
+</package>
+</packages>
+<symbols>
+<symbol name="C-EU">
+<wire x1="0" y1="0" x2="0" y2="-0.508" width="0.1524" layer="94"/>
+<wire x1="0" y1="-2.54" x2="0" y2="-2.032" width="0.1524" layer="94"/>
+<text x="1.524" y="0.381" size="1.778" layer="95">&gt;NAME</text>
+<text x="1.524" y="-4.699" size="1.778" layer="96">&gt;VALUE</text>
+<rectangle x1="-2.032" y1="-2.032" x2="2.032" y2="-1.524" layer="94"/>
+<rectangle x1="-2.032" y1="-1.016" x2="2.032" y2="-0.508" layer="94"/>
+<pin name="1" x="0" y="2.54" visible="off" length="short" direction="pas" swaplevel="1" rot="R270"/>
+<pin name="2" x="0" y="-5.08" visible="off" length="short" direction="pas" swaplevel="1" rot="R90"/>
+</symbol>
+</symbols>
+<devicesets>
+<deviceset name="C-EU" prefix="C" uservalue="yes">
+<description>&lt;B&gt;CAPACITOR&lt;/B&gt;, European symbol</description>
+<gates>
+<gate name="G$1" symbol="C-EU" x="0" y="0"/>
+</gates>
+<devices>
+<device name="C0402" package="C0402">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C0504" package="C0504">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C0603" package="C0603">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C0805" package="C0805">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1005" package="C1005">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1206" package="C1206">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1210" package="C1210">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1310" package="C1310">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1608" package="C1608">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1812" package="C1812">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1825" package="C1825">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C2012" package="C2012">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C3216" package="C3216">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C3225" package="C3225">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C4532" package="C4532">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C4564" package="C4564">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025-024X044" package="C025-024X044">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025-025X050" package="C025-025X050">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025-030X050" package="C025-030X050">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025-040X050" package="C025-040X050">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025-050X050" package="C025-050X050">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025-060X050" package="C025-060X050">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C025_050-024X070" package="C025_050-024X070">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025_050-025X075" package="C025_050-025X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025_050-035X075" package="C025_050-035X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025_050-045X075" package="C025_050-045X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="025_050-055X075" package="C025_050-055X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="050-024X044" package="C050-024X044">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="050-025X075" package="C050-025X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="050-045X075" package="C050-045X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="050-030X075" package="C050-030X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="050-050X075" package="C050-050X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="050-055X075" package="C050-055X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="050-075X075" package="C050-075X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="050H075X075" package="C050H075X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="075-032X103" package="C075-032X103">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="075-042X103" package="C075-042X103">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="075-052X106" package="C075-052X106">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="102-043X133" package="C102-043X133">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="102-054X133" package="C102-054X133">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="102-064X133" package="C102-064X133">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="102_152-062X184" package="C102_152-062X184">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="150-054X183" package="C150-054X183">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="150-064X183" package="C150-064X183">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="150-072X183" package="C150-072X183">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="150-084X183" package="C150-084X183">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="150-091X182" package="C150-091X182">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="225-062X268" package="C225-062X268">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="225-074X268" package="C225-074X268">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="225-087X268" package="C225-087X268">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="225-108X268" package="C225-108X268">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="225-113X268" package="C225-113X268">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="275-093X316" package="C275-093X316">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="275-113X316" package="C275-113X316">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="275-134X316" package="C275-134X316">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="275-205X316" package="C275-205X316">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="325-137X374" package="C325-137X374">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="325-162X374" package="C325-162X374">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="325-182X374" package="C325-182X374">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="375-192X418" package="C375-192X418">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="375-203X418" package="C375-203X418">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="050-035X075" package="C050-035X075">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="375-155X418" package="C375-155X418">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="075-063X106" package="C075-063X106">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="275-154X316" package="C275-154X316">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="275-173X316" package="C275-173X316">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C0402K" package="C0402K">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C0603K" package="C0603K">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C0805K" package="C0805K">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1206K" package="C1206K">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1210K" package="C1210K">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1812K" package="C1812K">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C1825K" package="C1825K">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C2220K" package="C2220K">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+<device name="C2225K" package="C2225K">
+<connects>
+<connect gate="G$1" pin="1" pad="1"/>
+<connect gate="G$1" pin="2" pad="2"/>
+</connects>
+<technologies>
+<technology name=""/>
+</technologies>
+</device>
+</devices>
+</deviceset>
+</devicesets>
+</library>
+<library name="con-lstb">
+<description>&lt;b&gt;Pin Headers&lt;/b&gt;&lt;p&gt;
+Naming:&lt;p&gt;
+MA = male&lt;p&gt;
+# contacts - # rows&lt;p&gt;
+W = angled&lt;p&gt;
+&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
+<packages>
+<package name="MA06-1">
+<description>&lt;b&gt;PIN HEADER&lt;/b&gt;</description>
+<wire x1="-6.985" y1="1.27" x2="-5.715" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-5.715" y1="1.27" x2="-5.08" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="-0.635" x2="-5.715" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="0.635" x2="-4.445" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-4.445" y1="1.27" x2="-3.175" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-3.175" y1="1.27" x2="-2.54" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-2.54" y1="-0.635" x2="-3.175" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-3.175" y1="-1.27" x2="-4.445" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-4.445" y1="-1.27" x2="-5.08" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-7.62" y1="0.635" x2="-7.62" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-6.985" y1="1.27" x2="-7.62" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-7.62" y1="-0.635" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-5.715" y1="-1.27" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-2.54" y1="0.635" x2="-1.905" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-1.905" y1="1.27" x2="-0.635" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-0.635" y1="1.27" x2="0" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="0" y1="-0.635" x2="-0.635" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-0.635" y1="-1.27" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-1.905" y1="-1.27" x2="-2.54" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="0.635" y1="1.27" x2="1.905" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="1.905" y1="1.27" x2="2.54" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="2.54" y1="-0.635" x2="1.905" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="2.54" y1="0.635" x2="3.175" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="3.175" y1="1.27" x2="4.445" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="4.445" y1="1.27" x2="5.08" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="5.08" y1="-0.635" x2="4.445" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="4.445" y1="-1.27" x2="3.175" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="3.175" y1="-1.27" x2="2.54" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="0.635" y1="1.27" x2="0" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="0" y1="-0.635" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="1.905" y1="-1.27" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="5.08" y1="0.635" x2="5.715" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="5.715" y1="1.27" x2="6.985" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="6.985" y1="1.27" x2="7.62" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="7.62" y1="-0.635" x2="6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="6.985" y1="-1.27" x2="5.715" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="5.715" y1="-1.27" x2="5.08" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="7.62" y1="0.635" x2="7.62" y2="-0.635" width="0.1524" layer="21"/>
+<pad name="1" x="-6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="2" x="-3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="3" x="-1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="4" x="1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="5" x="3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="6" x="6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<text x="-7.62" y="1.651" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-6.985" y="-2.921" size="1.27" layer="21" ratio="10">1</text>
+<text x="5.715" y="1.651" size="1.27" layer="21" ratio="10">6</text>
+<text x="-2.54" y="-2.921" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+<rectangle x1="-4.064" y1="-0.254" x2="-3.556" y2="0.254" layer="51"/>
+<rectangle x1="-6.604" y1="-0.254" x2="-6.096" y2="0.254" layer="51"/>
+<rectangle x1="-1.524" y1="-0.254" x2="-1.016" y2="0.254" layer="51"/>
+<rectangle x1="3.556" y1="-0.254" x2="4.064" y2="0.254" layer="51"/>
+<rectangle x1="1.016" y1="-0.254" x2="1.524" y2="0.254" layer="51"/>
+<rectangle x1="6.096" y1="-0.254" x2="6.604" y2="0.254" layer="51"/>
+</package>
+</packages>
+<symbols>
+<symbol name="MA06-1">
+<wire x1="3.81" y1="-10.16" x2="-1.27" y2="-10.16" width="0.4064" layer="94"/>
+<wire x1="1.27" y1="-2.54" x2="2.54" y2="-2.54" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="-5.08" x2="2.54" y2="-5.08" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="-7.62" x2="2.54" y2="-7.62" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="2.54" x2="2.54" y2="2.54" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="0" x2="2.54" y2="0" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="5.08" x2="2.54" y2="5.08" width="0.6096" layer="94"/>
+<wire x1="-1.27" y1="7.62" x2="-1.27" y2="-10.16" width="0.4064" layer="94"/>
+<wire x1="3.81" y1="-10.16" x2="3.81" y2="7.62" width="0.4064" layer="94"/>
+<wire x1="-1.27" y1="7.62" x2="3.81" y2="7.62" width="0.4064" layer="94"/>
+<text x="-1.27" y="-12.7" size="1.778" layer="96">&gt;VALUE</text>
+<text x="-1.27" y="8.382" size="1.778" layer="95">&gt;NAME</text>
+<pin name="1" x="7.62" y="-7.62" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="2" x="7.62" y="-5.08" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="3" x="7.62" y="-2.54" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="4" x="7.62" y="0" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="5" x="7.62" y="2.54" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="6" x="7.62" y="5.08" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+</symbol>
+</symbols>
+<devicesets>
+<deviceset name="MA06-1" prefix="SV" uservalue="yes">
+<description>&lt;b&gt;PIN HEADER&lt;/b&gt;</description>
+<gates>
+<gate name="1" symbol="MA06-1" x="0" y="0"/>
+</gates>
+<devices>
+<device name="" package="MA06-1">
+<connects>
+<connect gate="1" pin="1" pad="1"/>
+<connect gate="1" pin="2" pad="2"/>
+<connect gate="1" pin="3" pad="3"/>
+<connect gate="1" pin="4" pad="4"/>
+<connect gate="1" pin="5" pad="5"/>
+<connect gate="1" pin="6" pad="6"/>
+</connects>
+<technologies>
+<technology name="">
+<attribute name="MF" value="" constant="no"/>
+<attribute name="MPN" value="" constant="no"/>
+<attribute name="OC_FARNELL" value="unknown" constant="no"/>
+<attribute name="OC_NEWARK" value="unknown" constant="no"/>
+</technology>
+</technologies>
+</device>
+</devices>
+</deviceset>
+</devicesets>
+</library>
+</libraries>
+<attributes>
+</attributes>
+<variantdefs>
+</variantdefs>
+<classes>
+<class number="0" name="default" width="0" drill="0">
+</class>
+</classes>
+<parts>
+<part name="IC1" library="74xx-eu" deviceset="74*165" device="D" technology="AC"/>
+<part name="IC2" library="74xx-eu" deviceset="74*595" device="D" technology="HC"/>
+<part name="IC3" library="74xx-eu" deviceset="74*595" device="D" technology="HC"/>
+<part name="IC4" library="74xx-eu" deviceset="74*595" device="D" technology="HC"/>
+<part name="IC5" library="memory-idt" deviceset="71256S" device=""/>
+<part name="P+1" library="supply1" deviceset="VCC" device=""/>
+<part name="X1" library="con-molex" deviceset="C-GRID-14" device="-70543"/>
+<part name="X2" library="con-molex" deviceset="C-GRID-14" device="-70543"/>
+<part name="P+2" library="supply1" deviceset="VCC" device=""/>
+<part name="GND1" library="supply1" deviceset="GND" device=""/>
+<part name="C1" library="resistor" deviceset="C-EU" device="C1206"/>
+<part name="C2" library="resistor" deviceset="C-EU" device="C1206"/>
+<part name="C3" library="resistor" deviceset="C-EU" device="C1206"/>
+<part name="C4" library="resistor" deviceset="C-EU" device="C1206"/>
+<part name="C5" library="resistor" deviceset="C-EU" device="C1206"/>
+<part name="GND2" library="supply1" deviceset="GND" device=""/>
+<part name="P+3" library="supply1" deviceset="VCC" device=""/>
+<part name="SV1" library="con-lstb" deviceset="MA06-1" device=""/>
+<part name="P+4" library="supply1" deviceset="VCC" device=""/>
+<part name="GND3" library="supply1" deviceset="GND" device=""/>
+<part name="GND4" library="supply1" deviceset="GND" device=""/>
+</parts>
+<sheets>
+<sheet>
+<plain>
+</plain>
+<instances>
+<instance part="IC1" gate="A" x="162.56" y="83.82"/>
+<instance part="IC2" gate="A" x="162.56" y="40.64" rot="R180"/>
+<instance part="IC3" gate="A" x="45.72" y="88.9"/>
+<instance part="IC4" gate="A" x="45.72" y="45.72"/>
+<instance part="IC5" gate="G$1" x="101.6" y="55.88"/>
+<instance part="P+1" gate="VCC" x="27.94" y="109.22"/>
+<instance part="X1" gate="-1" x="91.44" y="142.24"/>
+<instance part="X1" gate="-2" x="91.44" y="139.7"/>
+<instance part="X1" gate="-3" x="91.44" y="137.16"/>
+<instance part="X1" gate="-4" x="91.44" y="134.62"/>
+<instance part="X1" gate="-5" x="91.44" y="132.08"/>
+<instance part="X1" gate="-6" x="91.44" y="129.54"/>
+<instance part="X1" gate="-7" x="91.44" y="127"/>
+<instance part="X1" gate="-8" x="91.44" y="124.46"/>
+<instance part="X1" gate="-9" x="91.44" y="121.92"/>
+<instance part="X1" gate="-10" x="91.44" y="119.38"/>
+<instance part="X1" gate="-11" x="91.44" y="116.84"/>
+<instance part="X1" gate="-12" x="91.44" y="114.3"/>
+<instance part="X1" gate="-13" x="91.44" y="111.76"/>
+<instance part="X1" gate="-14" x="91.44" y="109.22"/>
+<instance part="X2" gate="-1" x="111.76" y="109.22" rot="R180"/>
+<instance part="X2" gate="-2" x="111.76" y="111.76" rot="R180"/>
+<instance part="X2" gate="-3" x="111.76" y="114.3" rot="R180"/>
+<instance part="X2" gate="-4" x="111.76" y="116.84" rot="R180"/>
+<instance part="X2" gate="-5" x="111.76" y="119.38" rot="R180"/>
+<instance part="X2" gate="-6" x="111.76" y="121.92" rot="R180"/>
+<instance part="X2" gate="-7" x="111.76" y="124.46" rot="R180"/>
+<instance part="X2" gate="-8" x="111.76" y="127" rot="R180"/>
+<instance part="X2" gate="-9" x="111.76" y="129.54" rot="R180"/>
+<instance part="X2" gate="-10" x="111.76" y="132.08" rot="R180"/>
+<instance part="X2" gate="-11" x="111.76" y="134.62" rot="R180"/>
+<instance part="X2" gate="-12" x="111.76" y="137.16" rot="R180"/>
+<instance part="X2" gate="-13" x="111.76" y="139.7" rot="R180"/>
+<instance part="X2" gate="-14" x="111.76" y="142.24" rot="R180"/>
+<instance part="P+2" gate="VCC" x="116.84" y="147.32"/>
+<instance part="GND1" gate="1" x="86.36" y="104.14"/>
+<instance part="C1" gate="G$1" x="22.86" y="147.32"/>
+<instance part="C2" gate="G$1" x="30.48" y="147.32"/>
+<instance part="C3" gate="G$1" x="38.1" y="147.32"/>
+<instance part="C4" gate="G$1" x="45.72" y="147.32"/>
+<instance part="C5" gate="G$1" x="53.34" y="147.32"/>
+<instance part="GND2" gate="1" x="58.42" y="134.62"/>
+<instance part="P+3" gate="VCC" x="58.42" y="157.48"/>
+<instance part="SV1" gate="1" x="-20.32" y="35.56"/>
+<instance part="P+4" gate="VCC" x="-10.16" y="45.72"/>
+<instance part="GND3" gate="1" x="-10.16" y="22.86"/>
+<instance part="GND4" gate="1" x="137.16" y="66.04"/>
+</instances>
+<busses>
+<bus name="D[0..7],A[0..14],!OE,!WE,!CS">
+<segment>
+<wire x1="127" y1="27.94" x2="127" y2="99.06" width="0.762" layer="92"/>
+</segment>
+<segment>
+<wire x1="63.5" y1="99.06" x2="63.5" y2="81.28" width="0.762" layer="92"/>
+<wire x1="63.5" y1="81.28" x2="76.2" y2="81.28" width="0.762" layer="92"/>
+<wire x1="76.2" y1="81.28" x2="76.2" y2="30.48" width="0.762" layer="92"/>
+<wire x1="76.2" y1="30.48" x2="63.5" y2="30.48" width="0.762" layer="92"/>
+<wire x1="63.5" y1="30.48" x2="63.5" y2="55.88" width="0.762" layer="92"/>
+<wire x1="76.2" y1="142.24" x2="76.2" y2="109.22" width="0.762" layer="92"/>
+<wire x1="127" y1="142.24" x2="127" y2="109.22" width="0.762" layer="92"/>
+</segment>
+</bus>
+</busses>
+<nets>
+<net name="A1" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="QB"/>
+<wire x1="58.42" y1="96.52" x2="63.5" y2="96.52" width="0.1524" layer="91"/>
+<label x="58.42" y="96.52" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A1"/>
+<wire x1="76.2" y1="76.2" x2="88.9" y2="76.2" width="0.1524" layer="91"/>
+<label x="88.9" y="76.2" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-9" pin="S"/>
+<wire x1="88.9" y1="121.92" x2="76.2" y2="121.92" width="0.1524" layer="91"/>
+<label x="88.9" y="121.92" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="A0" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="QA"/>
+<wire x1="58.42" y1="99.06" x2="63.5" y2="99.06" width="0.1524" layer="91"/>
+<label x="58.42" y="99.06" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A0"/>
+<wire x1="76.2" y1="78.74" x2="88.9" y2="78.74" width="0.1524" layer="91"/>
+<label x="88.9" y="78.74" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-10" pin="S"/>
+<wire x1="88.9" y1="119.38" x2="76.2" y2="119.38" width="0.1524" layer="91"/>
+<label x="88.9" y="119.38" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="A3" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="QD"/>
+<wire x1="63.5" y1="91.44" x2="58.42" y2="91.44" width="0.1524" layer="91"/>
+<label x="58.42" y="91.44" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A3"/>
+<wire x1="76.2" y1="71.12" x2="88.9" y2="71.12" width="0.1524" layer="91"/>
+<label x="88.9" y="71.12" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-7" pin="S"/>
+<wire x1="88.9" y1="127" x2="76.2" y2="127" width="0.1524" layer="91"/>
+<label x="88.9" y="127" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="A2" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="QC"/>
+<wire x1="63.5" y1="93.98" x2="58.42" y2="93.98" width="0.1524" layer="91"/>
+<label x="58.42" y="93.98" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A2"/>
+<wire x1="76.2" y1="73.66" x2="88.9" y2="73.66" width="0.1524" layer="91"/>
+<label x="88.9" y="73.66" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-8" pin="S"/>
+<wire x1="88.9" y1="124.46" x2="76.2" y2="124.46" width="0.1524" layer="91"/>
+<label x="88.9" y="124.46" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="A4" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="QE"/>
+<wire x1="63.5" y1="88.9" x2="58.42" y2="88.9" width="0.1524" layer="91"/>
+<label x="58.42" y="88.9" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A4"/>
+<wire x1="88.9" y1="68.58" x2="76.2" y2="68.58" width="0.1524" layer="91"/>
+<label x="88.9" y="68.58" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-6" pin="S"/>
+<wire x1="88.9" y1="129.54" x2="76.2" y2="129.54" width="0.1524" layer="91"/>
+<label x="88.9" y="129.54" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="A5" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="QF"/>
+<wire x1="63.5" y1="86.36" x2="58.42" y2="86.36" width="0.1524" layer="91"/>
+<label x="58.42" y="86.36" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A5"/>
+<wire x1="88.9" y1="66.04" x2="76.2" y2="66.04" width="0.1524" layer="91"/>
+<label x="88.9" y="66.04" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-5" pin="S"/>
+<wire x1="88.9" y1="132.08" x2="76.2" y2="132.08" width="0.1524" layer="91"/>
+<label x="88.9" y="132.08" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="A6" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="QG"/>
+<wire x1="63.5" y1="83.82" x2="58.42" y2="83.82" width="0.1524" layer="91"/>
+<label x="58.42" y="83.82" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A6"/>
+<wire x1="88.9" y1="63.5" x2="76.2" y2="63.5" width="0.1524" layer="91"/>
+<label x="88.9" y="63.5" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-4" pin="S"/>
+<wire x1="88.9" y1="134.62" x2="76.2" y2="134.62" width="0.1524" layer="91"/>
+<label x="88.9" y="134.62" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="A7" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="QH"/>
+<wire x1="63.5" y1="81.28" x2="58.42" y2="81.28" width="0.1524" layer="91"/>
+<label x="58.42" y="81.28" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A7"/>
+<wire x1="88.9" y1="60.96" x2="76.2" y2="60.96" width="0.1524" layer="91"/>
+<label x="88.9" y="60.96" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-3" pin="S"/>
+<wire x1="88.9" y1="137.16" x2="76.2" y2="137.16" width="0.1524" layer="91"/>
+<label x="88.9" y="137.16" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="A8" class="0">
+<segment>
+<pinref part="IC4" gate="A" pin="QA"/>
+<wire x1="58.42" y1="55.88" x2="63.5" y2="55.88" width="0.1524" layer="91"/>
+<label x="58.42" y="55.88" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A8"/>
+<wire x1="88.9" y1="58.42" x2="76.2" y2="58.42" width="0.1524" layer="91"/>
+<label x="88.9" y="58.42" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-11" pin="S"/>
+<wire x1="114.3" y1="134.62" x2="127" y2="134.62" width="0.1524" layer="91"/>
+<label x="114.3" y="134.62" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="A9" class="0">
+<segment>
+<pinref part="IC4" gate="A" pin="QB"/>
+<wire x1="58.42" y1="53.34" x2="63.5" y2="53.34" width="0.1524" layer="91"/>
+<label x="58.42" y="53.34" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A9"/>
+<wire x1="88.9" y1="55.88" x2="76.2" y2="55.88" width="0.1524" layer="91"/>
+<label x="88.9" y="55.88" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-10" pin="S"/>
+<wire x1="114.3" y1="132.08" x2="127" y2="132.08" width="0.1524" layer="91"/>
+<label x="114.3" y="132.08" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="A10" class="0">
+<segment>
+<pinref part="IC4" gate="A" pin="QC"/>
+<wire x1="58.42" y1="50.8" x2="63.5" y2="50.8" width="0.1524" layer="91"/>
+<label x="58.42" y="50.8" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A10"/>
+<wire x1="88.9" y1="53.34" x2="76.2" y2="53.34" width="0.1524" layer="91"/>
+<label x="88.9" y="53.34" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-7" pin="S"/>
+<wire x1="114.3" y1="124.46" x2="127" y2="124.46" width="0.1524" layer="91"/>
+<label x="114.3" y="124.46" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="A11" class="0">
+<segment>
+<pinref part="IC4" gate="A" pin="QD"/>
+<wire x1="58.42" y1="48.26" x2="63.5" y2="48.26" width="0.1524" layer="91"/>
+<label x="58.42" y="48.26" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A11"/>
+<wire x1="88.9" y1="50.8" x2="76.2" y2="50.8" width="0.1524" layer="91"/>
+<label x="88.9" y="50.8" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-9" pin="S"/>
+<wire x1="114.3" y1="129.54" x2="127" y2="129.54" width="0.1524" layer="91"/>
+<label x="114.3" y="129.54" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="A12" class="0">
+<segment>
+<pinref part="IC4" gate="A" pin="QE"/>
+<wire x1="58.42" y1="45.72" x2="63.5" y2="45.72" width="0.1524" layer="91"/>
+<label x="58.42" y="45.72" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A12"/>
+<wire x1="88.9" y1="48.26" x2="76.2" y2="48.26" width="0.1524" layer="91"/>
+<label x="88.9" y="48.26" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-2" pin="S"/>
+<wire x1="76.2" y1="139.7" x2="88.9" y2="139.7" width="0.1524" layer="91"/>
+<label x="88.9" y="139.7" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="A13" class="0">
+<segment>
+<pinref part="IC4" gate="A" pin="QF"/>
+<wire x1="58.42" y1="43.18" x2="63.5" y2="43.18" width="0.1524" layer="91"/>
+<label x="58.42" y="43.18" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A13"/>
+<wire x1="76.2" y1="45.72" x2="88.9" y2="45.72" width="0.1524" layer="91"/>
+<label x="88.9" y="45.72" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-12" pin="S"/>
+<wire x1="114.3" y1="137.16" x2="127" y2="137.16" width="0.1524" layer="91"/>
+<label x="114.3" y="137.16" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="A14" class="0">
+<segment>
+<pinref part="IC4" gate="A" pin="QG"/>
+<wire x1="58.42" y1="40.64" x2="63.5" y2="40.64" width="0.1524" layer="91"/>
+<label x="58.42" y="40.64" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="A14"/>
+<wire x1="88.9" y1="43.18" x2="76.2" y2="43.18" width="0.1524" layer="91"/>
+<label x="88.9" y="43.18" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-1" pin="S"/>
+<wire x1="88.9" y1="142.24" x2="76.2" y2="142.24" width="0.1524" layer="91"/>
+<label x="88.9" y="142.24" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="N$1" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="QH*"/>
+<wire x1="58.42" y1="76.2" x2="58.42" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="58.42" y1="66.04" x2="30.48" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="30.48" y1="66.04" x2="30.48" y2="55.88" width="0.1524" layer="91"/>
+<pinref part="IC4" gate="A" pin="SER"/>
+<wire x1="30.48" y1="55.88" x2="33.02" y2="55.88" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="A_EN" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="G"/>
+<wire x1="33.02" y1="76.2" x2="22.86" y2="76.2" width="0.1524" layer="91"/>
+<wire x1="22.86" y1="76.2" x2="22.86" y2="33.02" width="0.1524" layer="91"/>
+<pinref part="IC4" gate="A" pin="G"/>
+<wire x1="22.86" y1="33.02" x2="22.86" y2="30.48" width="0.1524" layer="91"/>
+<wire x1="33.02" y1="33.02" x2="22.86" y2="33.02" width="0.1524" layer="91"/>
+<label x="-10.16" y="30.48" size="1.778" layer="95"/>
+<junction x="22.86" y="33.02"/>
+<pinref part="SV1" gate="1" pin="2"/>
+<wire x1="-12.7" y1="30.48" x2="22.86" y2="30.48" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="D0" class="0">
+<segment>
+<pinref part="IC1" gate="A" pin="A"/>
+<wire x1="127" y1="96.52" x2="149.86" y2="96.52" width="0.1524" layer="91"/>
+<label x="137.16" y="96.52" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="QA"/>
+<wire x1="127" y1="30.48" x2="149.86" y2="30.48" width="0.1524" layer="91"/>
+<label x="137.16" y="30.48" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="I/O1"/>
+<label x="116.84" y="78.74" size="1.778" layer="95"/>
+<wire x1="114.3" y1="78.74" x2="127" y2="78.74" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-11" pin="S"/>
+<wire x1="88.9" y1="116.84" x2="76.2" y2="116.84" width="0.1524" layer="91"/>
+<label x="88.9" y="116.84" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="D1" class="0">
+<segment>
+<pinref part="IC1" gate="A" pin="B"/>
+<wire x1="127" y1="93.98" x2="149.86" y2="93.98" width="0.1524" layer="91"/>
+<label x="137.16" y="93.98" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="QB"/>
+<wire x1="127" y1="33.02" x2="149.86" y2="33.02" width="0.1524" layer="91"/>
+<label x="137.16" y="33.02" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="I/O2"/>
+<label x="116.84" y="76.2" size="1.778" layer="95"/>
+<wire x1="114.3" y1="76.2" x2="127" y2="76.2" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-12" pin="S"/>
+<wire x1="88.9" y1="114.3" x2="76.2" y2="114.3" width="0.1524" layer="91"/>
+<label x="88.9" y="114.3" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="D2" class="0">
+<segment>
+<pinref part="IC1" gate="A" pin="C"/>
+<wire x1="127" y1="91.44" x2="149.86" y2="91.44" width="0.1524" layer="91"/>
+<label x="137.16" y="91.44" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="QC"/>
+<wire x1="127" y1="35.56" x2="149.86" y2="35.56" width="0.1524" layer="91"/>
+<label x="137.16" y="35.56" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="I/O3"/>
+<label x="116.84" y="73.66" size="1.778" layer="95"/>
+<wire x1="114.3" y1="73.66" x2="127" y2="73.66" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="X1" gate="-13" pin="S"/>
+<wire x1="88.9" y1="111.76" x2="76.2" y2="111.76" width="0.1524" layer="91"/>
+<label x="88.9" y="111.76" size="1.778" layer="95" rot="MR0"/>
+</segment>
+</net>
+<net name="D3" class="0">
+<segment>
+<pinref part="IC1" gate="A" pin="D"/>
+<wire x1="127" y1="88.9" x2="149.86" y2="88.9" width="0.1524" layer="91"/>
+<label x="137.16" y="88.9" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="QD"/>
+<wire x1="127" y1="38.1" x2="149.86" y2="38.1" width="0.1524" layer="91"/>
+<label x="137.16" y="38.1" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="I/O4"/>
+<label x="116.84" y="71.12" size="1.778" layer="95"/>
+<wire x1="114.3" y1="71.12" x2="127" y2="71.12" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-1" pin="S"/>
+<wire x1="114.3" y1="109.22" x2="127" y2="109.22" width="0.1524" layer="91"/>
+<label x="114.3" y="109.22" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="D4" class="0">
+<segment>
+<pinref part="IC1" gate="A" pin="E"/>
+<wire x1="127" y1="86.36" x2="149.86" y2="86.36" width="0.1524" layer="91"/>
+<label x="137.16" y="86.36" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="QE"/>
+<wire x1="127" y1="40.64" x2="149.86" y2="40.64" width="0.1524" layer="91"/>
+<label x="137.16" y="40.64" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="I/O5"/>
+<label x="116.84" y="68.58" size="1.778" layer="95"/>
+<wire x1="114.3" y1="68.58" x2="127" y2="68.58" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-2" pin="S"/>
+<wire x1="114.3" y1="111.76" x2="127" y2="111.76" width="0.1524" layer="91"/>
+<label x="114.3" y="111.76" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="D5" class="0">
+<segment>
+<pinref part="IC1" gate="A" pin="F"/>
+<wire x1="127" y1="83.82" x2="149.86" y2="83.82" width="0.1524" layer="91"/>
+<label x="137.16" y="83.82" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="QF"/>
+<wire x1="127" y1="43.18" x2="149.86" y2="43.18" width="0.1524" layer="91"/>
+<label x="137.16" y="43.18" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="I/O6"/>
+<label x="116.84" y="66.04" size="1.778" layer="95"/>
+<wire x1="114.3" y1="66.04" x2="127" y2="66.04" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-3" pin="S"/>
+<wire x1="114.3" y1="114.3" x2="127" y2="114.3" width="0.1524" layer="91"/>
+<label x="114.3" y="114.3" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="D6" class="0">
+<segment>
+<pinref part="IC1" gate="A" pin="G"/>
+<wire x1="127" y1="81.28" x2="149.86" y2="81.28" width="0.1524" layer="91"/>
+<label x="137.16" y="81.28" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="QG"/>
+<wire x1="127" y1="45.72" x2="149.86" y2="45.72" width="0.1524" layer="91"/>
+<label x="137.16" y="45.72" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="I/O7"/>
+<label x="116.84" y="63.5" size="1.778" layer="95"/>
+<wire x1="114.3" y1="63.5" x2="127" y2="63.5" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-4" pin="S"/>
+<wire x1="114.3" y1="116.84" x2="127" y2="116.84" width="0.1524" layer="91"/>
+<label x="114.3" y="116.84" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="D7" class="0">
+<segment>
+<pinref part="IC1" gate="A" pin="H"/>
+<wire x1="127" y1="78.74" x2="149.86" y2="78.74" width="0.1524" layer="91"/>
+<label x="137.16" y="78.74" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="QH"/>
+<wire x1="127" y1="48.26" x2="149.86" y2="48.26" width="0.1524" layer="91"/>
+<label x="137.16" y="48.26" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC5" gate="G$1" pin="I/O8"/>
+<label x="116.84" y="60.96" size="1.778" layer="95"/>
+<wire x1="114.3" y1="60.96" x2="127" y2="60.96" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-5" pin="S"/>
+<wire x1="114.3" y1="119.38" x2="127" y2="119.38" width="0.1524" layer="91"/>
+<label x="114.3" y="119.38" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="N$2" class="0">
+<segment>
+<pinref part="IC4" gate="A" pin="QH*"/>
+<wire x1="58.42" y1="33.02" x2="60.96" y2="33.02" width="0.1524" layer="91"/>
+<wire x1="60.96" y1="33.02" x2="60.96" y2="15.24" width="0.1524" layer="91"/>
+<wire x1="60.96" y1="15.24" x2="180.34" y2="15.24" width="0.1524" layer="91"/>
+<wire x1="180.34" y1="15.24" x2="180.34" y2="30.48" width="0.1524" layer="91"/>
+<pinref part="IC2" gate="A" pin="SER"/>
+<wire x1="180.34" y1="30.48" x2="175.26" y2="30.48" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="N$3" class="0">
+<segment>
+<pinref part="IC2" gate="A" pin="QH*"/>
+<wire x1="149.86" y1="53.34" x2="142.24" y2="53.34" width="0.1524" layer="91"/>
+<wire x1="142.24" y1="53.34" x2="142.24" y2="99.06" width="0.1524" layer="91"/>
+<pinref part="IC1" gate="A" pin="SER"/>
+<wire x1="142.24" y1="99.06" x2="149.86" y2="99.06" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="D_IN" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="SER"/>
+<wire x1="33.02" y1="99.06" x2="20.32" y2="99.06" width="0.1524" layer="91"/>
+<wire x1="20.32" y1="99.06" x2="20.32" y2="33.02" width="0.1524" layer="91"/>
+<label x="-10.16" y="33.02" size="1.778" layer="95"/>
+<pinref part="SV1" gate="1" pin="3"/>
+<wire x1="20.32" y1="33.02" x2="-12.7" y2="33.02" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="D_CLK" class="0">
+<segment>
+<pinref part="IC3" gate="A" pin="SCK"/>
+<wire x1="33.02" y1="93.98" x2="17.78" y2="93.98" width="0.1524" layer="91"/>
+<wire x1="17.78" y1="93.98" x2="17.78" y2="50.8" width="0.1524" layer="91"/>
+<pinref part="IC4" gate="A" pin="SCK"/>
+<wire x1="17.78" y1="50.8" x2="33.02" y2="50.8" width="0.1524" layer="91"/>
+<wire x1="17.78" y1="50.8" x2="17.78" y2="35.56" width="0.1524" layer="91"/>
+<label x="-10.16" y="35.56" size="1.778" layer="95"/>
+<wire x1="17.78" y1="35.56" x2="17.78" y2="7.62" width="0.1524" layer="91"/>
+<wire x1="17.78" y1="7.62" x2="187.96" y2="7.62" width="0.1524" layer="91"/>
+<wire x1="187.96" y1="7.62" x2="187.96" y2="35.56" width="0.1524" layer="91"/>
+<pinref part="IC2" gate="A" pin="SCK"/>
+<wire x1="175.26" y1="35.56" x2="187.96" y2="35.56" width="0.1524" layer="91"/>
+<wire x1="187.96" y1="35.56" x2="187.96" y2="60.96" width="0.1524" layer="91"/>
+<wire x1="187.96" y1="60.96" x2="144.78" y2="60.96" width="0.1524" layer="91"/>
+<pinref part="IC1" gate="A" pin="CLK"/>
+<wire x1="149.86" y1="73.66" x2="144.78" y2="73.66" width="0.1524" layer="91"/>
+<wire x1="144.78" y1="73.66" x2="144.78" y2="60.96" width="0.1524" layer="91"/>
+<junction x="187.96" y="35.56"/>
+<pinref part="SV1" gate="1" pin="4"/>
+<wire x1="-12.7" y1="35.56" x2="17.78" y2="35.56" width="0.1524" layer="91"/>
+<junction x="17.78" y="35.56"/>
+</segment>
+</net>
+<net name="N$5" class="0">
+<segment>
+<pinref part="IC2" gate="A" pin="RCK"/>
+<wire x1="175.26" y1="43.18" x2="185.42" y2="43.18" width="0.1524" layer="91"/>
+<wire x1="185.42" y1="43.18" x2="185.42" y2="10.16" width="0.1524" layer="91"/>
+<wire x1="185.42" y1="10.16" x2="25.4" y2="10.16" width="0.1524" layer="91"/>
+<wire x1="25.4" y1="10.16" x2="25.4" y2="43.18" width="0.1524" layer="91"/>
+<pinref part="IC4" gate="A" pin="RCK"/>
+<wire x1="33.02" y1="43.18" x2="25.4" y2="43.18" width="0.1524" layer="91"/>
+<pinref part="IC3" gate="A" pin="RCK"/>
+<wire x1="33.02" y1="86.36" x2="25.4" y2="86.36" width="0.1524" layer="91"/>
+<wire x1="25.4" y1="86.36" x2="25.4" y2="43.18" width="0.1524" layer="91"/>
+<pinref part="IC1" gate="A" pin="SH/!LD"/>
+<wire x1="149.86" y1="68.58" x2="147.32" y2="68.58" width="0.1524" layer="91"/>
+<wire x1="147.32" y1="68.58" x2="147.32" y2="63.5" width="0.1524" layer="91"/>
+<wire x1="147.32" y1="63.5" x2="185.42" y2="63.5" width="0.1524" layer="91"/>
+<wire x1="185.42" y1="63.5" x2="185.42" y2="43.18" width="0.1524" layer="91"/>
+<junction x="25.4" y="43.18"/>
+<junction x="185.42" y="43.18"/>
+</segment>
+</net>
+<net name="VCC" class="0">
+<segment>
+<pinref part="IC4" gate="A" pin="SCL"/>
+<wire x1="33.02" y1="48.26" x2="27.94" y2="48.26" width="0.1524" layer="91"/>
+<wire x1="27.94" y1="48.26" x2="27.94" y2="91.44" width="0.1524" layer="91"/>
+<pinref part="IC3" gate="A" pin="SCL"/>
+<wire x1="27.94" y1="91.44" x2="27.94" y2="106.68" width="0.1524" layer="91"/>
+<wire x1="33.02" y1="91.44" x2="27.94" y2="91.44" width="0.1524" layer="91"/>
+<junction x="27.94" y="91.44"/>
+<pinref part="P+1" gate="VCC" pin="VCC"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-14" pin="S"/>
+<wire x1="114.3" y1="142.24" x2="116.84" y2="142.24" width="0.1524" layer="91"/>
+<wire x1="116.84" y1="142.24" x2="116.84" y2="144.78" width="0.1524" layer="91"/>
+<pinref part="P+2" gate="VCC" pin="VCC"/>
+</segment>
+<segment>
+<pinref part="C1" gate="G$1" pin="1"/>
+<wire x1="22.86" y1="149.86" x2="22.86" y2="152.4" width="0.1524" layer="91"/>
+<pinref part="P+3" gate="VCC" pin="VCC"/>
+<wire x1="22.86" y1="152.4" x2="30.48" y2="152.4" width="0.1524" layer="91"/>
+<wire x1="30.48" y1="152.4" x2="38.1" y2="152.4" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="152.4" x2="45.72" y2="152.4" width="0.1524" layer="91"/>
+<wire x1="45.72" y1="152.4" x2="53.34" y2="152.4" width="0.1524" layer="91"/>
+<wire x1="53.34" y1="152.4" x2="58.42" y2="152.4" width="0.1524" layer="91"/>
+<wire x1="58.42" y1="152.4" x2="58.42" y2="154.94" width="0.1524" layer="91"/>
+<pinref part="C5" gate="G$1" pin="1"/>
+<wire x1="53.34" y1="152.4" x2="53.34" y2="149.86" width="0.1524" layer="91"/>
+<pinref part="C4" gate="G$1" pin="1"/>
+<wire x1="45.72" y1="152.4" x2="45.72" y2="149.86" width="0.1524" layer="91"/>
+<pinref part="C3" gate="G$1" pin="1"/>
+<wire x1="38.1" y1="152.4" x2="38.1" y2="149.86" width="0.1524" layer="91"/>
+<pinref part="C2" gate="G$1" pin="1"/>
+<wire x1="30.48" y1="152.4" x2="30.48" y2="149.86" width="0.1524" layer="91"/>
+<junction x="30.48" y="152.4"/>
+<junction x="38.1" y="152.4"/>
+<junction x="45.72" y="152.4"/>
+<junction x="53.34" y="152.4"/>
+</segment>
+<segment>
+<pinref part="SV1" gate="1" pin="6"/>
+<pinref part="P+4" gate="VCC" pin="VCC"/>
+<wire x1="-12.7" y1="40.64" x2="-10.16" y2="40.64" width="0.1524" layer="91"/>
+<wire x1="-10.16" y1="40.64" x2="-10.16" y2="43.18" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="!WE" class="0">
+<segment>
+<pinref part="IC5" gate="G$1" pin="!WE"/>
+<wire x1="76.2" y1="35.56" x2="88.9" y2="35.56" width="0.1524" layer="91"/>
+<label x="88.9" y="35.56" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-13" pin="S"/>
+<wire x1="114.3" y1="139.7" x2="127" y2="139.7" width="0.1524" layer="91"/>
+<label x="114.3" y="139.7" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="!RAM_CS" class="0">
+<segment>
+<pinref part="IC5" gate="G$1" pin="!CS"/>
+<wire x1="76.2" y1="33.02" x2="88.9" y2="33.02" width="0.1524" layer="91"/>
+<label x="88.9" y="33.02" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-6" pin="S"/>
+<wire x1="114.3" y1="121.92" x2="127" y2="121.92" width="0.1524" layer="91"/>
+<label x="114.3" y="121.92" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="!OE" class="0">
+<segment>
+<pinref part="IC5" gate="G$1" pin="!OE"/>
+<wire x1="76.2" y1="38.1" x2="88.9" y2="38.1" width="0.1524" layer="91"/>
+<label x="88.9" y="38.1" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-8" pin="S"/>
+<wire x1="114.3" y1="127" x2="127" y2="127" width="0.1524" layer="91"/>
+<label x="114.3" y="127" size="1.778" layer="95"/>
+</segment>
+</net>
+<net name="GND" class="0">
+<segment>
+<pinref part="X1" gate="-14" pin="S"/>
+<wire x1="88.9" y1="109.22" x2="86.36" y2="109.22" width="0.1524" layer="91"/>
+<wire x1="86.36" y1="109.22" x2="86.36" y2="106.68" width="0.1524" layer="91"/>
+<pinref part="GND1" gate="1" pin="GND"/>
+</segment>
+<segment>
+<pinref part="C1" gate="G$1" pin="2"/>
+<wire x1="22.86" y1="142.24" x2="22.86" y2="139.7" width="0.1524" layer="91"/>
+<wire x1="22.86" y1="139.7" x2="30.48" y2="139.7" width="0.1524" layer="91"/>
+<wire x1="30.48" y1="139.7" x2="38.1" y2="139.7" width="0.1524" layer="91"/>
+<wire x1="38.1" y1="139.7" x2="45.72" y2="139.7" width="0.1524" layer="91"/>
+<wire x1="53.34" y1="139.7" x2="58.42" y2="139.7" width="0.1524" layer="91"/>
+<wire x1="58.42" y1="139.7" x2="58.42" y2="137.16" width="0.1524" layer="91"/>
+<pinref part="C5" gate="G$1" pin="2"/>
+<wire x1="53.34" y1="142.24" x2="53.34" y2="139.7" width="0.1524" layer="91"/>
+<pinref part="C4" gate="G$1" pin="2"/>
+<wire x1="53.34" y1="139.7" x2="45.72" y2="139.7" width="0.1524" layer="91"/>
+<wire x1="45.72" y1="139.7" x2="45.72" y2="142.24" width="0.1524" layer="91"/>
+<pinref part="C2" gate="G$1" pin="2"/>
+<wire x1="30.48" y1="142.24" x2="30.48" y2="139.7" width="0.1524" layer="91"/>
+<pinref part="C3" gate="G$1" pin="2"/>
+<wire x1="38.1" y1="142.24" x2="38.1" y2="139.7" width="0.1524" layer="91"/>
+<junction x="30.48" y="139.7"/>
+<junction x="38.1" y="139.7"/>
+<junction x="53.34" y="139.7"/>
+<junction x="45.72" y="139.7"/>
+<pinref part="GND2" gate="1" pin="GND"/>
+</segment>
+<segment>
+<pinref part="SV1" gate="1" pin="1"/>
+<pinref part="GND3" gate="1" pin="GND"/>
+<wire x1="-12.7" y1="27.94" x2="-10.16" y2="27.94" width="0.1524" layer="91"/>
+<wire x1="-10.16" y1="27.94" x2="-10.16" y2="25.4" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="IC1" gate="A" pin="INH"/>
+<pinref part="GND4" gate="1" pin="GND"/>
+<wire x1="149.86" y1="71.12" x2="137.16" y2="71.12" width="0.1524" layer="91"/>
+<wire x1="137.16" y1="71.12" x2="137.16" y2="68.58" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="D_OUT" class="0">
+<segment>
+<pinref part="SV1" gate="1" pin="5"/>
+<wire x1="-12.7" y1="38.1" x2="15.24" y2="38.1" width="0.1524" layer="91"/>
+<wire x1="15.24" y1="38.1" x2="15.24" y2="5.08" width="0.1524" layer="91"/>
+<wire x1="15.24" y1="5.08" x2="190.5" y2="5.08" width="0.1524" layer="91"/>
+<wire x1="190.5" y1="5.08" x2="190.5" y2="96.52" width="0.1524" layer="91"/>
+<pinref part="IC1" gate="A" pin="QH"/>
+<wire x1="190.5" y1="96.52" x2="175.26" y2="96.52" width="0.1524" layer="91"/>
+<label x="-10.16" y="38.1" size="1.778" layer="95"/>
+<label x="177.8" y="96.52" size="1.778" layer="95"/>
+</segment>
+</net>
+</nets>
+</sheet>
+</sheets>
+</schematic>
+</drawing>
+</eagle>
diff --git a/hardware/ram-ice.brd b/hardware/ram-ice.brd
index e31fae3..4bdd1e2 100644
--- a/hardware/ram-ice.brd
+++ b/hardware/ram-ice.brd
@@ -6,7 +6,7 @@
<setting alwaysvectorfont="no"/>
<setting verticaltext="up"/>
</settings>
-<grid distance="25" unitdist="mil" unit="mil" style="lines" multiple="1" display="yes" altdistance="0.000000123031" altunitdist="inch" altunit="inch"/>
+<grid distance="0.635" unitdist="mm" unit="mm" style="lines" multiple="1" display="yes" altdistance="0.000000123031" altunitdist="inch" altunit="inch"/>
<layers>
<layer number="1" name="Top" color="4" fill="1" visible="yes" active="yes"/>
<layer number="16" name="Bottom" color="1" fill="1" visible="yes" active="yes"/>
@@ -1356,62 +1356,94 @@ MA = male&lt;p&gt;
W = angled&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
-<package name="MA06-1">
+<package name="MA10-1">
<description>&lt;b&gt;PIN HEADER&lt;/b&gt;</description>
+<wire x1="-12.065" y1="1.27" x2="-10.795" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-10.795" y1="1.27" x2="-10.16" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-10.16" y1="-0.635" x2="-10.795" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-10.16" y1="0.635" x2="-9.525" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-9.525" y1="1.27" x2="-8.255" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-8.255" y1="1.27" x2="-7.62" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-7.62" y1="-0.635" x2="-8.255" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-8.255" y1="-1.27" x2="-9.525" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-9.525" y1="-1.27" x2="-10.16" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-12.7" y1="0.635" x2="-12.7" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-12.065" y1="1.27" x2="-12.7" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-12.7" y1="-0.635" x2="-12.065" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-10.795" y1="-1.27" x2="-12.065" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-7.62" y1="0.635" x2="-6.985" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-6.985" y1="1.27" x2="-5.715" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-5.715" y1="1.27" x2="-5.08" y2="0.635" width="0.1524" layer="21"/>
<wire x1="-5.08" y1="-0.635" x2="-5.715" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-5.08" y1="0.635" x2="-4.445" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-5.715" y1="-1.27" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-6.985" y1="-1.27" x2="-7.62" y2="-0.635" width="0.1524" layer="21"/>
<wire x1="-4.445" y1="1.27" x2="-3.175" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-3.175" y1="1.27" x2="-2.54" y2="0.635" width="0.1524" layer="21"/>
<wire x1="-2.54" y1="-0.635" x2="-3.175" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-4.445" y1="1.27" x2="-5.08" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="-0.635" x2="-4.445" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="-3.175" y1="-1.27" x2="-4.445" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-4.445" y1="-1.27" x2="-5.08" y2="-0.635" width="0.1524" layer="21"/>
-<wire x1="-7.62" y1="0.635" x2="-7.62" y2="-0.635" width="0.1524" layer="21"/>
-<wire x1="-6.985" y1="1.27" x2="-7.62" y2="0.635" width="0.1524" layer="21"/>
-<wire x1="-7.62" y1="-0.635" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-5.715" y1="-1.27" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-2.54" y1="0.635" x2="-1.905" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-1.905" y1="1.27" x2="-0.635" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="1.27" x2="0" y2="0.635" width="0.1524" layer="21"/>
<wire x1="0" y1="-0.635" x2="-0.635" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-0.635" y1="-1.27" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-1.905" y1="-1.27" x2="-2.54" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="0" y1="0.635" x2="0.635" y2="1.27" width="0.1524" layer="21"/>
<wire x1="0.635" y1="1.27" x2="1.905" y2="1.27" width="0.1524" layer="21"/>
<wire x1="1.905" y1="1.27" x2="2.54" y2="0.635" width="0.1524" layer="21"/>
<wire x1="2.54" y1="-0.635" x2="1.905" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="1.905" y1="-1.27" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="0.635" y1="-1.27" x2="0" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-1.905" y1="1.27" x2="-2.54" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-2.54" y1="-0.635" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-0.635" y1="-1.27" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="2.54" y1="0.635" x2="3.175" y2="1.27" width="0.1524" layer="21"/>
<wire x1="3.175" y1="1.27" x2="4.445" y2="1.27" width="0.1524" layer="21"/>
<wire x1="4.445" y1="1.27" x2="5.08" y2="0.635" width="0.1524" layer="21"/>
<wire x1="5.08" y1="-0.635" x2="4.445" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="4.445" y1="-1.27" x2="3.175" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="3.175" y1="-1.27" x2="2.54" y2="-0.635" width="0.1524" layer="21"/>
-<wire x1="0.635" y1="1.27" x2="0" y2="0.635" width="0.1524" layer="21"/>
-<wire x1="0" y1="-0.635" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="1.905" y1="-1.27" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="5.08" y1="0.635" x2="5.715" y2="1.27" width="0.1524" layer="21"/>
<wire x1="5.715" y1="1.27" x2="6.985" y2="1.27" width="0.1524" layer="21"/>
<wire x1="6.985" y1="1.27" x2="7.62" y2="0.635" width="0.1524" layer="21"/>
<wire x1="7.62" y1="-0.635" x2="6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="5.715" y1="1.27" x2="5.08" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="5.08" y1="-0.635" x2="5.715" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="6.985" y1="-1.27" x2="5.715" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="5.715" y1="-1.27" x2="5.08" y2="-0.635" width="0.1524" layer="21"/>
-<wire x1="7.62" y1="0.635" x2="7.62" y2="-0.635" width="0.1524" layer="21"/>
-<pad name="1" x="-6.35" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="2" x="-3.81" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="3" x="-1.27" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="4" x="1.27" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="5" x="3.81" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="6" x="6.35" y="0" drill="1.016" shape="long" rot="R90"/>
-<text x="-7.62" y="1.651" size="1.27" layer="25" ratio="10">&gt;NAME</text>
-<text x="-6.985" y="-2.921" size="1.27" layer="21" ratio="10">1</text>
-<text x="5.715" y="1.651" size="1.27" layer="21" ratio="10">6</text>
-<text x="-2.54" y="-2.921" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
-<rectangle x1="-4.064" y1="-0.254" x2="-3.556" y2="0.254" layer="51"/>
+<wire x1="8.255" y1="1.27" x2="9.525" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="9.525" y1="1.27" x2="10.16" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="10.16" y1="-0.635" x2="9.525" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="10.16" y1="0.635" x2="10.795" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="10.795" y1="1.27" x2="12.065" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="12.065" y1="1.27" x2="12.7" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="12.7" y1="-0.635" x2="12.065" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="12.065" y1="-1.27" x2="10.795" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="10.795" y1="-1.27" x2="10.16" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="8.255" y1="1.27" x2="7.62" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="7.62" y1="-0.635" x2="8.255" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="9.525" y1="-1.27" x2="8.255" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="12.7" y1="0.635" x2="12.7" y2="-0.635" width="0.1524" layer="21"/>
+<pad name="1" x="-11.43" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="2" x="-8.89" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="3" x="-6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="4" x="-3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="5" x="-1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="6" x="1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="7" x="3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="8" x="6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="9" x="8.89" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="10" x="11.43" y="0" drill="1.016" shape="long" rot="R90"/>
+<text x="-12.7" y="1.651" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-11.938" y="-2.921" size="1.27" layer="21" ratio="10">1</text>
+<text x="10.795" y="1.651" size="1.27" layer="21" ratio="10">10</text>
+<text x="1.27" y="-2.921" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+<rectangle x1="-9.144" y1="-0.254" x2="-8.636" y2="0.254" layer="51"/>
+<rectangle x1="-11.684" y1="-0.254" x2="-11.176" y2="0.254" layer="51"/>
<rectangle x1="-6.604" y1="-0.254" x2="-6.096" y2="0.254" layer="51"/>
+<rectangle x1="-4.064" y1="-0.254" x2="-3.556" y2="0.254" layer="51"/>
+<rectangle x1="1.016" y1="-0.254" x2="1.524" y2="0.254" layer="51"/>
<rectangle x1="-1.524" y1="-0.254" x2="-1.016" y2="0.254" layer="51"/>
<rectangle x1="3.556" y1="-0.254" x2="4.064" y2="0.254" layer="51"/>
-<rectangle x1="1.016" y1="-0.254" x2="1.524" y2="0.254" layer="51"/>
<rectangle x1="6.096" y1="-0.254" x2="6.604" y2="0.254" layer="51"/>
+<rectangle x1="11.176" y1="-0.254" x2="11.684" y2="0.254" layer="51"/>
+<rectangle x1="8.636" y1="-0.254" x2="9.144" y2="0.254" layer="51"/>
</package>
</packages>
</library>
@@ -1595,38 +1627,38 @@ design rules under a new name.
</pass>
</autorouter>
<elements>
-<element name="IC1" library="74xx-eu" package="SO16" value="74AC165D" x="49.53" y="45.085" rot="R270"/>
-<element name="IC2" library="74xx-eu" package="SO16" value="74HC595D" x="16.51" y="38.735" rot="R90"/>
-<element name="IC3" library="74xx-eu" package="SO16" value="74HC595D" x="81.915" y="20.32" rot="R270"/>
-<element name="IC4" library="74xx-eu" package="SO16" value="74HC595D" x="16.51" y="15.24" rot="R90"/>
-<element name="IC5" library="memory-idt" package="SO28-3" value="" x="49.53" y="22.86" rot="R90">
-<attribute name="OC_NEWARK" value="34M6449" x="49.53" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
-<attribute name="MPN" value="IDT71256SA20YG" x="49.53" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
-<attribute name="MF" value="" x="49.53" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
-<attribute name="OC_FARNELL" value="1218010" x="49.53" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+<element name="IC1" library="74xx-eu" package="SO16" value="74AC165D" x="54.61" y="51.435" rot="R270"/>
+<element name="IC2" library="74xx-eu" package="SO16" value="74HC595D" x="21.59" y="45.085" rot="R90"/>
+<element name="IC3" library="74xx-eu" package="SO16" value="74HC595D" x="86.995" y="26.67" rot="R270"/>
+<element name="IC4" library="74xx-eu" package="SO16" value="74HC595D" x="21.59" y="21.59" rot="R90"/>
+<element name="IC5" library="memory-idt" package="SO28-3" value="" x="54.61" y="29.21" rot="R90">
+<attribute name="OC_NEWARK" value="34M6449" x="54.61" y="29.21" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="MPN" value="IDT71256SA20YG" x="54.61" y="29.21" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="MF" value="" x="54.61" y="29.21" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="OC_FARNELL" value="1218010" x="54.61" y="29.21" size="1.778" layer="27" rot="R90" display="off"/>
</element>
-<element name="X1" library="con-molex" package="70543-14" value="C-GRID-14-70543" x="62.23" y="22.86" rot="R90">
-<attribute name="OC_NEWARK" value="unknown" x="62.23" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
-<attribute name="MPN" value="" x="62.23" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
-<attribute name="MF" value="" x="62.23" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
-<attribute name="OC_FARNELL" value="unknown" x="62.23" y="22.86" size="1.778" layer="27" rot="R90" display="off"/>
+<element name="X1" library="con-molex" package="70543-14" value="C-GRID-14-70543" x="67.31" y="29.21" rot="R90">
+<attribute name="OC_NEWARK" value="unknown" x="67.31" y="29.21" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="MPN" value="" x="67.31" y="29.21" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="MF" value="" x="67.31" y="29.21" size="1.778" layer="27" rot="R90" display="off"/>
+<attribute name="OC_FARNELL" value="unknown" x="67.31" y="29.21" size="1.778" layer="27" rot="R90" display="off"/>
</element>
-<element name="X2" library="con-molex" package="70543-14" value="C-GRID-14-70543" x="36.83" y="22.86" rot="R270">
-<attribute name="OC_NEWARK" value="unknown" x="36.83" y="22.86" size="1.778" layer="27" rot="R270" display="off"/>
-<attribute name="MPN" value="" x="36.83" y="22.86" size="1.778" layer="27" rot="R270" display="off"/>
-<attribute name="MF" value="" x="36.83" y="22.86" size="1.778" layer="27" rot="R270" display="off"/>
-<attribute name="OC_FARNELL" value="unknown" x="36.83" y="22.86" size="1.778" layer="27" rot="R270" display="off"/>
+<element name="X2" library="con-molex" package="70543-14" value="C-GRID-14-70543" x="41.91" y="29.21" rot="R270">
+<attribute name="OC_NEWARK" value="unknown" x="41.91" y="29.21" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="MPN" value="" x="41.91" y="29.21" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="MF" value="" x="41.91" y="29.21" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="OC_FARNELL" value="unknown" x="41.91" y="29.21" size="1.778" layer="27" rot="R270" display="off"/>
</element>
-<element name="C1" library="resistor" package="C1206" value="" x="16.51" y="7.62"/>
-<element name="C2" library="resistor" package="C1206" value="" x="16.51" y="31.115"/>
-<element name="C3" library="resistor" package="C1206" value="" x="49.53" y="52.07" rot="R180"/>
-<element name="C4" library="resistor" package="C1206" value="" x="81.915" y="12.7" rot="R180"/>
-<element name="C5" library="resistor" package="C1206" value="" x="49.53" y="12.065" rot="R180"/>
-<element name="SV1" library="con-lstb" package="MA06-1" value="" x="3.81" y="12.7" rot="R270">
-<attribute name="OC_NEWARK" value="unknown" x="3.81" y="12.7" size="1.778" layer="27" rot="R270" display="off"/>
-<attribute name="MPN" value="" x="3.81" y="12.7" size="1.778" layer="27" rot="R270" display="off"/>
-<attribute name="MF" value="" x="3.81" y="12.7" size="1.778" layer="27" rot="R270" display="off"/>
-<attribute name="OC_FARNELL" value="unknown" x="3.81" y="12.7" size="1.778" layer="27" rot="R270" display="off"/>
+<element name="C1" library="resistor" package="C1206" value="" x="21.59" y="13.97"/>
+<element name="C2" library="resistor" package="C1206" value="" x="21.59" y="37.465"/>
+<element name="C3" library="resistor" package="C1206" value="" x="54.61" y="58.42" rot="R180"/>
+<element name="C4" library="resistor" package="C1206" value="" x="86.995" y="19.05" rot="R180"/>
+<element name="C5" library="resistor" package="C1206" value="" x="54.61" y="18.415" rot="R180"/>
+<element name="SV1" library="con-lstb" package="MA10-1" value="" x="9.525" y="24.13" rot="R270">
+<attribute name="OC_NEWARK" value="unknown" x="9.525" y="24.13" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="MPN" value="" x="9.525" y="24.13" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="MF" value="" x="9.525" y="24.13" size="1.778" layer="27" rot="R270" display="off"/>
+<attribute name="OC_FARNELL" value="unknown" x="9.525" y="24.13" size="1.778" layer="27" rot="R270" display="off"/>
</element>
</elements>
<signals>
@@ -1637,75 +1669,82 @@ design rules under a new name.
<contactref element="IC4" pad="8"/>
<contactref element="IC5" pad="14"/>
<contactref element="X1" pad="14"/>
-<wire x1="54.845" y1="31.115" x2="55.88" y2="31.115" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="37.465" x2="60.96" y2="37.465" width="0.4064" layer="1"/>
<contactref element="C1" pad="2"/>
<contactref element="C5" pad="2"/>
<contactref element="C4" pad="2"/>
<contactref element="C2" pad="2"/>
<contactref element="C3" pad="2"/>
-<wire x1="17.91" y1="7.62" x2="17.91" y2="8.125" width="0.4064" layer="1"/>
-<wire x1="17.91" y1="8.125" x2="17.145" y2="8.89" width="0.4064" layer="1"/>
-<wire x1="17.145" y1="8.89" x2="17.145" y2="19.685" width="0.4064" layer="1"/>
-<wire x1="19.5834" y1="43.18" x2="17.78" y2="43.18" width="0.4064" layer="1"/>
-<wire x1="17.78" y1="43.18" x2="17.145" y2="42.545" width="0.4064" layer="1"/>
-<wire x1="19.5834" y1="19.685" x2="17.145" y2="19.685" width="0.4064" layer="1"/>
-<wire x1="17.91" y1="31.115" x2="17.145" y2="30.48" width="0.4064" layer="1"/>
-<wire x1="17.145" y1="30.48" x2="17.145" y2="19.685" width="0.4064" layer="1"/>
-<wire x1="17.145" y1="42.545" x2="17.145" y2="31.75" width="0.4064" layer="1"/>
-<wire x1="17.145" y1="31.75" x2="17.91" y2="31.115" width="0.4064" layer="1"/>
-<wire x1="56.515" y1="33.02" x2="49.53" y2="33.02" width="0.4064" layer="16"/>
-<via x="49.53" y="33.02" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="46.4566" y1="40.64" x2="48.895" y2="40.64" width="0.4064" layer="1"/>
-<wire x1="49.53" y1="33.02" x2="49.53" y2="40.005" width="0.4064" layer="1"/>
-<wire x1="49.53" y1="40.005" x2="48.895" y2="40.64" width="0.4064" layer="1"/>
-<wire x1="48.895" y1="40.64" x2="48.895" y2="51.305" width="0.4064" layer="1"/>
-<wire x1="64.135" y1="15.24" x2="59.69" y2="15.24" width="0.4064" layer="16"/>
-<wire x1="59.69" y1="15.24" x2="57.15" y2="17.78" width="0.4064" layer="16"/>
-<wire x1="57.15" y1="17.78" x2="57.15" y2="32.385" width="0.4064" layer="16"/>
-<wire x1="57.15" y1="32.385" x2="56.515" y2="33.02" width="0.4064" layer="16"/>
-<via x="57.15" y="32.385" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="55.88" y1="31.115" x2="57.15" y2="32.385" width="0.4064" layer="1"/>
-<wire x1="61.595" y1="39.37" x2="62.23" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="19.5834" y1="43.18" x2="21.59" y2="43.18" width="0.4064" layer="1"/>
-<via x="21.59" y="43.18" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="21.59" y1="43.18" x2="43.18" y2="43.18" width="0.4064" layer="16"/>
-<wire x1="43.18" y1="43.18" x2="43.815" y2="42.545" width="0.4064" layer="16"/>
-<wire x1="43.815" y1="42.545" x2="43.815" y2="40.64" width="0.4064" layer="16"/>
-<via x="43.815" y="40.64" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="43.815" y1="40.64" x2="46.4566" y2="40.64" width="0.4064" layer="1"/>
-<contactref element="SV1" pad="1"/>
-<wire x1="3.81" y1="19.05" x2="1.905" y2="19.05" width="0.4064" layer="16"/>
-<wire x1="1.905" y1="19.05" x2="1.27" y2="18.415" width="0.4064" layer="16"/>
-<wire x1="1.27" y1="18.415" x2="1.27" y2="5.08" width="0.4064" layer="16"/>
-<via x="20.32" y="7.62" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="20.32" y1="7.62" x2="17.91" y2="7.62" width="0.4064" layer="1"/>
-<wire x1="1.27" y1="5.08" x2="1.905" y2="4.445" width="0.4064" layer="16"/>
-<wire x1="1.905" y1="4.445" x2="19.685" y2="4.445" width="0.4064" layer="16"/>
-<wire x1="19.685" y1="4.445" x2="20.32" y2="5.08" width="0.4064" layer="16"/>
-<wire x1="20.32" y1="5.08" x2="20.32" y2="7.62" width="0.4064" layer="16"/>
+<wire x1="22.99" y1="13.97" x2="22.99" y2="14.475" width="0.4064" layer="1"/>
+<wire x1="22.99" y1="14.475" x2="22.225" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="22.225" y1="15.24" x2="22.225" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="24.6634" y1="49.53" x2="22.86" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="22.86" y1="49.53" x2="22.225" y2="48.895" width="0.4064" layer="1"/>
+<wire x1="24.6634" y1="26.035" x2="22.225" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="22.99" y1="37.465" x2="22.225" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="22.225" y1="36.83" x2="22.225" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="22.225" y1="48.895" x2="22.225" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="22.225" y1="38.1" x2="22.99" y2="37.465" width="0.4064" layer="1"/>
+<via x="54.61" y="39.37" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="51.5366" y1="46.99" x2="53.975" y2="46.99" width="0.4064" layer="1"/>
+<wire x1="54.61" y1="39.37" x2="54.61" y2="46.355" width="0.4064" layer="1"/>
+<wire x1="54.61" y1="46.355" x2="53.975" y2="46.99" width="0.4064" layer="1"/>
+<wire x1="53.975" y1="46.99" x2="53.975" y2="57.655" width="0.4064" layer="1"/>
+<wire x1="60.96" y1="37.465" x2="62.23" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="66.675" y1="45.72" x2="67.31" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="24.6634" y1="49.53" x2="26.67" y2="49.53" width="0.4064" layer="1"/>
+<via x="26.67" y="49.53" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="26.67" y1="49.53" x2="48.26" y2="49.53" width="0.4064" layer="16"/>
+<wire x1="48.26" y1="49.53" x2="48.895" y2="48.895" width="0.4064" layer="16"/>
+<wire x1="48.895" y1="48.895" x2="48.895" y2="46.99" width="0.4064" layer="16"/>
+<via x="48.895" y="46.99" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="48.895" y1="46.99" x2="51.5366" y2="46.99" width="0.4064" layer="1"/>
+<wire x1="6.35" y1="34.925" x2="6.35" y2="11.43" width="0.4064" layer="16"/>
+<via x="25.4" y="13.97" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="25.4" y1="13.97" x2="22.99" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="6.35" y1="11.43" x2="6.985" y2="10.795" width="0.4064" layer="16"/>
+<wire x1="6.985" y1="10.795" x2="24.765" y2="10.795" width="0.4064" layer="16"/>
+<wire x1="24.765" y1="10.795" x2="25.4" y2="11.43" width="0.4064" layer="16"/>
+<wire x1="25.4" y1="11.43" x2="25.4" y2="13.97" width="0.4064" layer="16"/>
<contactref element="IC1" pad="15"/>
-<wire x1="49.53" y1="33.02" x2="49.53" y2="13.465" width="0.4064" layer="1"/>
-<wire x1="49.53" y1="13.465" x2="48.13" y2="12.065" width="0.4064" layer="1"/>
-<wire x1="78.8416" y1="15.875" x2="80.645" y2="15.875" width="0.4064" layer="1"/>
-<wire x1="80.645" y1="15.875" x2="81.28" y2="15.24" width="0.4064" layer="1"/>
-<wire x1="81.28" y1="15.24" x2="81.28" y2="13.465" width="0.4064" layer="1"/>
-<wire x1="81.28" y1="13.465" x2="80.515" y2="12.7" width="0.4064" layer="1"/>
-<wire x1="78.8416" y1="15.875" x2="73.025" y2="15.875" width="0.4064" layer="1"/>
-<via x="73.025" y="15.875" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="73.025" y1="15.875" x2="64.77" y2="15.875" width="0.4064" layer="16"/>
-<wire x1="64.77" y1="15.875" x2="64.135" y2="15.24" width="0.4064" layer="16"/>
-<wire x1="48.895" y1="51.305" x2="48.13" y2="52.07" width="0.4064" layer="1"/>
-<wire x1="52.6034" y1="48.26" x2="53.975" y2="48.26" width="0.4064" layer="1"/>
-<wire x1="53.975" y1="48.26" x2="54.61" y2="48.895" width="0.4064" layer="1"/>
-<wire x1="54.61" y1="48.895" x2="54.61" y2="53.34" width="0.4064" layer="1"/>
-<wire x1="54.61" y1="53.34" x2="53.975" y2="53.975" width="0.4064" layer="1"/>
-<wire x1="53.975" y1="53.975" x2="49.53" y2="53.975" width="0.4064" layer="1"/>
-<wire x1="48.13" y1="52.07" x2="48.26" y2="52.07" width="0.4064" layer="1"/>
-<wire x1="48.26" y1="52.07" x2="48.895" y2="52.705" width="0.4064" layer="1"/>
-<wire x1="48.895" y1="52.705" x2="48.895" y2="53.34" width="0.4064" layer="1"/>
-<wire x1="48.895" y1="53.34" x2="49.53" y2="53.975" width="0.4064" layer="1"/>
-<wire x1="61.595" y1="39.37" x2="57.15" y2="34.925" width="0.4064" layer="1"/>
-<wire x1="57.15" y1="34.925" x2="57.15" y2="32.385" width="0.4064" layer="1"/>
+<wire x1="54.61" y1="39.37" x2="54.61" y2="19.815" width="0.4064" layer="1"/>
+<wire x1="54.61" y1="19.815" x2="53.21" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="83.9216" y1="22.225" x2="85.725" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="85.725" y1="22.225" x2="86.36" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="86.36" y1="21.59" x2="86.36" y2="19.815" width="0.4064" layer="1"/>
+<wire x1="86.36" y1="19.815" x2="85.595" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="83.9216" y1="22.225" x2="78.105" y2="22.225" width="0.4064" layer="1"/>
+<via x="78.105" y="22.225" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="53.975" y1="57.655" x2="53.21" y2="58.42" width="0.4064" layer="1"/>
+<wire x1="57.6834" y1="54.61" x2="59.055" y2="54.61" width="0.4064" layer="1"/>
+<wire x1="59.055" y1="54.61" x2="59.69" y2="55.245" width="0.4064" layer="1"/>
+<wire x1="59.69" y1="55.245" x2="59.69" y2="59.69" width="0.4064" layer="1"/>
+<wire x1="59.69" y1="59.69" x2="59.055" y2="60.325" width="0.4064" layer="1"/>
+<wire x1="59.055" y1="60.325" x2="54.61" y2="60.325" width="0.4064" layer="1"/>
+<wire x1="53.21" y1="58.42" x2="53.34" y2="58.42" width="0.4064" layer="1"/>
+<wire x1="53.34" y1="58.42" x2="53.975" y2="59.055" width="0.4064" layer="1"/>
+<wire x1="53.975" y1="59.055" x2="53.975" y2="59.69" width="0.4064" layer="1"/>
+<wire x1="53.975" y1="59.69" x2="54.61" y2="60.325" width="0.4064" layer="1"/>
+<wire x1="66.675" y1="45.72" x2="62.23" y2="41.275" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="41.275" x2="62.23" y2="38.735" width="0.4064" layer="1"/>
+<contactref element="SV1" pad="1"/>
+<contactref element="IC2" pad="10"/>
+<wire x1="18.5166" y1="48.26" x2="15.875" y2="48.26" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="48.26" x2="15.24" y2="48.895" width="0.4064" layer="1"/>
+<wire x1="15.24" y1="48.895" x2="15.24" y2="53.975" width="0.4064" layer="1"/>
+<wire x1="15.24" y1="53.975" x2="15.875" y2="54.61" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="54.61" x2="26.035" y2="54.61" width="0.4064" layer="1"/>
+<wire x1="26.035" y1="54.61" x2="26.67" y2="53.975" width="0.4064" layer="1"/>
+<wire x1="26.67" y1="53.975" x2="26.67" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="9.525" y1="35.56" x2="6.985" y2="35.56" width="0.4064" layer="16"/>
+<wire x1="6.985" y1="35.56" x2="6.35" y2="34.925" width="0.4064" layer="16"/>
+<wire x1="54.61" y1="39.37" x2="69.85" y2="39.37" width="0.4064" layer="16"/>
+<wire x1="69.85" y1="45.085" x2="69.215" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="69.215" y1="45.72" x2="67.31" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="78.105" y1="22.225" x2="70.485" y2="22.225" width="0.4064" layer="16"/>
+<wire x1="70.485" y1="22.225" x2="69.85" y2="22.86" width="0.4064" layer="16"/>
+<wire x1="69.85" y1="22.86" x2="69.85" y2="39.37" width="0.4064" layer="16"/>
+<wire x1="69.85" y1="39.37" x2="69.85" y2="45.085" width="0.4064" layer="16"/>
</signal>
<signal name="VCC">
<contactref element="IC1" pad="16"/>
@@ -1713,7 +1752,7 @@ design rules under a new name.
<contactref element="IC3" pad="16"/>
<contactref element="IC4" pad="16"/>
<contactref element="IC5" pad="28"/>
-<wire x1="12.7" y1="10.795" x2="13.4366" y2="10.795" width="0.4064" layer="1"/>
+<wire x1="17.78" y1="17.145" x2="18.5166" y2="17.145" width="0.4064" layer="1"/>
<contactref element="IC4" pad="10"/>
<contactref element="IC3" pad="10"/>
<contactref element="X2" pad="14"/>
@@ -1722,630 +1761,676 @@ design rules under a new name.
<contactref element="C4" pad="1"/>
<contactref element="C3" pad="1"/>
<contactref element="C2" pad="1"/>
-<wire x1="15.11" y1="7.62" x2="15.11" y2="8.125" width="0.4064" layer="1"/>
-<wire x1="15.11" y1="8.125" x2="15.875" y2="8.89" width="0.4064" layer="1"/>
-<wire x1="13.4366" y1="34.29" x2="15.24" y2="34.29" width="0.4064" layer="1"/>
-<wire x1="15.24" y1="34.29" x2="15.875" y2="33.655" width="0.4064" layer="1"/>
-<wire x1="15.875" y1="33.655" x2="15.875" y2="31.75" width="0.4064" layer="1"/>
-<wire x1="15.875" y1="31.75" x2="15.11" y2="31.115" width="0.4064" layer="1"/>
-<wire x1="15.11" y1="31.115" x2="15.875" y2="30.48" width="0.4064" layer="1"/>
-<wire x1="15.875" y1="30.48" x2="15.875" y2="18.415" width="0.4064" layer="1"/>
-<wire x1="15.875" y1="18.415" x2="15.875" y2="10.795" width="0.4064" layer="1"/>
-<wire x1="15.875" y1="18.415" x2="13.4366" y2="18.415" width="0.4064" layer="1"/>
-<wire x1="15.875" y1="8.89" x2="15.875" y2="10.795" width="0.4064" layer="1"/>
-<wire x1="13.4366" y1="10.795" x2="15.875" y2="10.795" width="0.4064" layer="1"/>
-<wire x1="50.93" y1="50.035" x2="51.435" y2="49.53" width="0.4064" layer="1"/>
-<wire x1="52.6034" y1="49.53" x2="51.435" y2="49.53" width="0.4064" layer="1"/>
-<wire x1="51.435" y1="49.53" x2="50.8" y2="48.895" width="0.4064" layer="1"/>
-<wire x1="50.8" y1="48.895" x2="50.8" y2="12.065" width="0.4064" layer="1"/>
-<wire x1="50.8" y1="12.065" x2="50.8" y2="9.525" width="0.4064" layer="1"/>
-<wire x1="82.55" y1="5.08" x2="38.1" y2="5.08" width="0.4064" layer="1"/>
-<wire x1="38.1" y1="5.08" x2="36.83" y2="6.35" width="0.4064" layer="1"/>
-<wire x1="83.185" y1="12.83" x2="83.185" y2="17.145" width="0.4064" layer="1"/>
-<wire x1="83.185" y1="17.145" x2="84.9884" y2="17.145" width="0.4064" layer="1"/>
-<wire x1="84.9884" y1="24.765" x2="83.82" y2="24.765" width="0.4064" layer="1"/>
-<wire x1="83.82" y1="24.765" x2="83.185" y2="24.13" width="0.4064" layer="1"/>
-<wire x1="83.185" y1="24.13" x2="83.185" y2="17.145" width="0.4064" layer="1"/>
-<contactref element="SV1" pad="6"/>
-<wire x1="14.475" y1="6.985" x2="15.11" y2="7.62" width="0.4064" layer="1"/>
-<via x="44.45" y="8.89" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="44.45" y1="6.985" x2="44.45" y2="8.89" width="0.4064" layer="16"/>
-<wire x1="44.45" y1="8.89" x2="44.45" y2="14.37" width="0.4064" layer="1"/>
-<wire x1="44.45" y1="14.37" x2="44.215" y2="14.605" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="6.35" x2="19.685" y2="6.35" width="0.4064" layer="1"/>
-<wire x1="19.685" y1="6.35" x2="19.05" y2="5.715" width="0.4064" layer="1"/>
-<wire x1="19.05" y1="5.715" x2="15.875" y2="5.715" width="0.4064" layer="1"/>
-<wire x1="15.875" y1="5.715" x2="15.24" y2="6.35" width="0.4064" layer="1"/>
-<wire x1="15.24" y1="6.35" x2="15.24" y2="7.49" width="0.4064" layer="1"/>
-<wire x1="15.24" y1="7.49" x2="15.11" y2="7.62" width="0.4064" layer="1"/>
-<wire x1="3.81" y1="6.35" x2="11.43" y2="6.35" width="0.4064" layer="16"/>
-<wire x1="11.43" y1="6.35" x2="12.7" y2="7.62" width="0.4064" layer="16"/>
-<via x="12.7" y="7.62" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="12.7" y1="7.62" x2="15.11" y2="7.62" width="0.4064" layer="1"/>
-<wire x1="44.45" y1="8.89" x2="50.165" y2="8.89" width="0.4064" layer="1"/>
-<wire x1="50.165" y1="8.89" x2="50.8" y2="9.525" width="0.4064" layer="1"/>
-<wire x1="50.93" y1="12.065" x2="50.8" y2="12.065" width="0.4064" layer="1"/>
-<wire x1="83.185" y1="12.83" x2="83.315" y2="12.7" width="0.4064" layer="1"/>
-<wire x1="82.55" y1="5.08" x2="83.185" y2="5.715" width="0.4064" layer="1"/>
-<wire x1="83.185" y1="5.715" x2="83.185" y2="12.57" width="0.4064" layer="1"/>
-<wire x1="83.185" y1="12.57" x2="83.315" y2="12.7" width="0.4064" layer="1"/>
-<wire x1="50.93" y1="50.035" x2="50.93" y2="52.07" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="6.35" x2="43.815" y2="6.35" width="0.4064" layer="16"/>
-<wire x1="43.815" y1="6.35" x2="44.45" y2="6.985" width="0.4064" layer="16"/>
+<wire x1="20.19" y1="13.97" x2="20.19" y2="14.475" width="0.4064" layer="1"/>
+<wire x1="20.19" y1="14.475" x2="20.955" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="18.5166" y1="40.64" x2="20.32" y2="40.64" width="0.4064" layer="1"/>
+<wire x1="20.32" y1="40.64" x2="20.955" y2="40.005" width="0.4064" layer="1"/>
+<wire x1="20.955" y1="40.005" x2="20.955" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="20.955" y1="38.1" x2="20.19" y2="37.465" width="0.4064" layer="1"/>
+<wire x1="20.19" y1="37.465" x2="20.955" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="20.955" y1="36.83" x2="20.955" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="20.955" y1="24.765" x2="20.955" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="20.955" y1="24.765" x2="18.5166" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="20.955" y1="15.24" x2="20.955" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="18.5166" y1="17.145" x2="20.955" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="56.01" y1="56.385" x2="56.515" y2="55.88" width="0.4064" layer="1"/>
+<wire x1="57.6834" y1="55.88" x2="56.515" y2="55.88" width="0.4064" layer="1"/>
+<wire x1="56.515" y1="55.88" x2="55.88" y2="55.245" width="0.4064" layer="1"/>
+<wire x1="55.88" y1="55.245" x2="55.88" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="55.88" y1="18.415" x2="55.88" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="87.63" y1="11.43" x2="43.18" y2="11.43" width="0.4064" layer="1"/>
+<wire x1="43.18" y1="11.43" x2="41.91" y2="12.7" width="0.4064" layer="1"/>
+<wire x1="88.265" y1="19.18" x2="88.265" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="88.265" y1="23.495" x2="90.0684" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="90.0684" y1="31.115" x2="88.9" y2="31.115" width="0.4064" layer="1"/>
+<wire x1="88.9" y1="31.115" x2="88.265" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="88.265" y1="30.48" x2="88.265" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="19.555" y1="13.335" x2="20.19" y2="13.97" width="0.4064" layer="1"/>
+<via x="49.53" y="15.24" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="49.53" y1="13.335" x2="49.53" y2="15.24" width="0.4064" layer="16"/>
+<wire x1="49.53" y1="15.24" x2="49.53" y2="20.72" width="0.4064" layer="1"/>
+<wire x1="49.53" y1="20.72" x2="49.295" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="12.7" x2="24.765" y2="12.7" width="0.4064" layer="1"/>
+<wire x1="24.765" y1="12.7" x2="24.13" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="24.13" y1="12.065" x2="20.955" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="20.955" y1="12.065" x2="20.32" y2="12.7" width="0.4064" layer="1"/>
+<wire x1="20.32" y1="12.7" x2="20.32" y2="13.84" width="0.4064" layer="1"/>
+<wire x1="20.32" y1="13.84" x2="20.19" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="16.51" y1="12.7" x2="17.78" y2="13.97" width="0.4064" layer="16"/>
+<via x="17.78" y="13.97" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="17.78" y1="13.97" x2="20.19" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="49.53" y1="15.24" x2="55.245" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="55.245" y1="15.24" x2="55.88" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="56.01" y1="18.415" x2="55.88" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="88.265" y1="19.18" x2="88.395" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="87.63" y1="11.43" x2="88.265" y2="12.065" width="0.4064" layer="1"/>
+<wire x1="88.265" y1="12.065" x2="88.265" y2="18.92" width="0.4064" layer="1"/>
+<wire x1="88.265" y1="18.92" x2="88.395" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="56.01" y1="56.385" x2="56.01" y2="58.42" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="12.7" x2="48.895" y2="12.7" width="0.4064" layer="16"/>
+<wire x1="48.895" y1="12.7" x2="49.53" y2="13.335" width="0.4064" layer="16"/>
+<contactref element="SV1" pad="10"/>
+<wire x1="16.51" y1="12.7" x2="9.525" y2="12.7" width="0.4064" layer="16"/>
</signal>
<signal name="A1">
<contactref element="IC3" pad="1"/>
<contactref element="IC5" pad="9"/>
<contactref element="X1" pad="9"/>
-<wire x1="54.845" y1="24.765" x2="58.42" y2="24.765" width="0.4064" layer="1"/>
-<wire x1="60.325" y1="26.67" x2="62.23" y2="26.67" width="0.4064" layer="1"/>
-<wire x1="78.8416" y1="24.765" x2="66.675" y2="24.765" width="0.4064" layer="1"/>
-<wire x1="66.675" y1="24.765" x2="64.77" y2="26.67" width="0.4064" layer="1"/>
-<wire x1="64.77" y1="26.67" x2="62.23" y2="26.67" width="0.4064" layer="1"/>
-<wire x1="58.42" y1="24.765" x2="60.325" y2="26.67" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="31.115" x2="63.5" y2="31.115" width="0.4064" layer="1"/>
+<wire x1="65.405" y1="33.02" x2="67.31" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="83.9216" y1="31.115" x2="71.755" y2="31.115" width="0.4064" layer="1"/>
+<wire x1="71.755" y1="31.115" x2="69.85" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="69.85" y1="33.02" x2="67.31" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="63.5" y1="31.115" x2="65.405" y2="33.02" width="0.4064" layer="1"/>
</signal>
<signal name="A0">
<contactref element="IC3" pad="15"/>
<contactref element="IC5" pad="10"/>
<contactref element="X1" pad="10"/>
-<wire x1="58.42" y1="26.035" x2="54.845" y2="26.035" width="0.4064" layer="1"/>
-<wire x1="84.9884" y1="23.495" x2="86.36" y2="23.495" width="0.4064" layer="1"/>
-<wire x1="86.36" y1="23.495" x2="86.995" y2="24.13" width="0.4064" layer="1"/>
-<wire x1="86.995" y1="24.13" x2="86.995" y2="28.575" width="0.4064" layer="1"/>
-<wire x1="86.995" y1="28.575" x2="86.36" y2="29.21" width="0.4064" layer="1"/>
-<wire x1="86.36" y1="29.21" x2="62.23" y2="29.21" width="0.4064" layer="1"/>
-<wire x1="58.42" y1="26.035" x2="61.595" y2="29.21" width="0.4064" layer="1"/>
-<wire x1="61.595" y1="29.21" x2="62.23" y2="29.21" width="0.4064" layer="1"/>
+<wire x1="63.5" y1="32.385" x2="59.925" y2="32.385" width="0.4064" layer="1"/>
+<wire x1="90.0684" y1="29.845" x2="91.44" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="91.44" y1="29.845" x2="92.075" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="92.075" y1="30.48" x2="92.075" y2="34.925" width="0.4064" layer="1"/>
+<wire x1="92.075" y1="34.925" x2="91.44" y2="35.56" width="0.4064" layer="1"/>
+<wire x1="91.44" y1="35.56" x2="67.31" y2="35.56" width="0.4064" layer="1"/>
+<wire x1="63.5" y1="32.385" x2="66.675" y2="35.56" width="0.4064" layer="1"/>
+<wire x1="66.675" y1="35.56" x2="67.31" y2="35.56" width="0.4064" layer="1"/>
</signal>
<signal name="A3">
<contactref element="IC3" pad="3"/>
<contactref element="IC5" pad="7"/>
<contactref element="X1" pad="7"/>
-<wire x1="54.845" y1="22.225" x2="60.325" y2="22.225" width="0.4064" layer="1"/>
-<wire x1="60.325" y1="22.225" x2="60.96" y2="21.59" width="0.4064" layer="1"/>
-<wire x1="60.96" y1="21.59" x2="62.23" y2="21.59" width="0.4064" layer="1"/>
-<wire x1="78.8416" y1="22.225" x2="64.77" y2="22.225" width="0.4064" layer="1"/>
-<wire x1="64.77" y1="22.225" x2="64.135" y2="21.59" width="0.4064" layer="1"/>
-<wire x1="64.135" y1="21.59" x2="62.23" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="28.575" x2="65.405" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="65.405" y1="28.575" x2="66.04" y2="27.94" width="0.4064" layer="1"/>
+<wire x1="66.04" y1="27.94" x2="67.31" y2="27.94" width="0.4064" layer="1"/>
+<wire x1="83.9216" y1="28.575" x2="69.85" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="69.85" y1="28.575" x2="69.215" y2="27.94" width="0.4064" layer="1"/>
+<wire x1="69.215" y1="27.94" x2="67.31" y2="27.94" width="0.4064" layer="1"/>
</signal>
<signal name="A2">
<contactref element="IC3" pad="2"/>
<contactref element="IC5" pad="8"/>
<contactref element="X1" pad="8"/>
-<wire x1="78.8416" y1="23.495" x2="64.77" y2="23.495" width="0.4064" layer="1"/>
-<wire x1="64.77" y1="23.495" x2="64.135" y2="24.13" width="0.4064" layer="1"/>
-<wire x1="64.135" y1="24.13" x2="62.23" y2="24.13" width="0.4064" layer="1"/>
-<wire x1="62.23" y1="24.13" x2="60.96" y2="24.13" width="0.4064" layer="1"/>
-<wire x1="60.96" y1="24.13" x2="60.325" y2="23.495" width="0.4064" layer="1"/>
-<wire x1="60.325" y1="23.495" x2="54.845" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="83.9216" y1="29.845" x2="69.85" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="69.85" y1="29.845" x2="69.215" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="69.215" y1="30.48" x2="67.31" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="67.31" y1="30.48" x2="66.04" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="66.04" y1="30.48" x2="65.405" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="65.405" y1="29.845" x2="59.925" y2="29.845" width="0.4064" layer="1"/>
</signal>
<signal name="A4">
<contactref element="IC3" pad="4"/>
<contactref element="IC5" pad="6"/>
<contactref element="X1" pad="6"/>
-<wire x1="54.845" y1="20.955" x2="57.785" y2="20.955" width="0.4064" layer="1"/>
-<wire x1="57.785" y1="20.955" x2="59.69" y2="19.05" width="0.4064" layer="1"/>
-<wire x1="59.69" y1="19.05" x2="62.23" y2="19.05" width="0.4064" layer="1"/>
-<wire x1="78.8416" y1="20.955" x2="66.04" y2="20.955" width="0.4064" layer="1"/>
-<wire x1="66.04" y1="20.955" x2="64.135" y2="19.05" width="0.4064" layer="1"/>
-<wire x1="64.135" y1="19.05" x2="62.23" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="27.305" x2="62.865" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="62.865" y1="27.305" x2="64.77" y2="25.4" width="0.4064" layer="1"/>
+<wire x1="64.77" y1="25.4" x2="67.31" y2="25.4" width="0.4064" layer="1"/>
+<wire x1="83.9216" y1="27.305" x2="71.12" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="71.12" y1="27.305" x2="69.215" y2="25.4" width="0.4064" layer="1"/>
+<wire x1="69.215" y1="25.4" x2="67.31" y2="25.4" width="0.4064" layer="1"/>
</signal>
<signal name="A5">
<contactref element="IC3" pad="5"/>
<contactref element="IC5" pad="5"/>
<contactref element="X1" pad="5"/>
-<wire x1="54.845" y1="19.685" x2="57.785" y2="19.685" width="0.4064" layer="1"/>
-<wire x1="57.785" y1="19.685" x2="60.96" y2="16.51" width="0.4064" layer="1"/>
-<wire x1="60.96" y1="16.51" x2="62.23" y2="16.51" width="0.4064" layer="1"/>
-<wire x1="78.8416" y1="19.685" x2="67.31" y2="19.685" width="0.4064" layer="1"/>
-<wire x1="67.31" y1="19.685" x2="64.135" y2="16.51" width="0.4064" layer="1"/>
-<wire x1="64.135" y1="16.51" x2="62.23" y2="16.51" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="26.035" x2="62.865" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="62.865" y1="26.035" x2="66.04" y2="22.86" width="0.4064" layer="1"/>
+<wire x1="66.04" y1="22.86" x2="67.31" y2="22.86" width="0.4064" layer="1"/>
+<wire x1="83.9216" y1="26.035" x2="72.39" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="72.39" y1="26.035" x2="69.215" y2="22.86" width="0.4064" layer="1"/>
+<wire x1="69.215" y1="22.86" x2="67.31" y2="22.86" width="0.4064" layer="1"/>
</signal>
<signal name="A6">
<contactref element="IC3" pad="6"/>
<contactref element="IC5" pad="4"/>
<contactref element="X1" pad="4"/>
-<wire x1="54.845" y1="18.415" x2="57.785" y2="18.415" width="0.4064" layer="1"/>
-<wire x1="57.785" y1="18.415" x2="59.69" y2="16.51" width="0.4064" layer="1"/>
-<wire x1="59.69" y1="16.51" x2="59.69" y2="14.605" width="0.4064" layer="1"/>
-<wire x1="59.69" y1="14.605" x2="60.325" y2="13.97" width="0.4064" layer="1"/>
-<wire x1="60.325" y1="13.97" x2="62.23" y2="13.97" width="0.4064" layer="1"/>
-<wire x1="78.8416" y1="18.415" x2="68.58" y2="18.415" width="0.4064" layer="1"/>
-<wire x1="68.58" y1="18.415" x2="64.135" y2="13.97" width="0.4064" layer="1"/>
-<wire x1="64.135" y1="13.97" x2="62.23" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="24.765" x2="62.865" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="62.865" y1="24.765" x2="64.77" y2="22.86" width="0.4064" layer="1"/>
+<wire x1="64.77" y1="22.86" x2="64.77" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="64.77" y1="20.955" x2="65.405" y2="20.32" width="0.4064" layer="1"/>
+<wire x1="65.405" y1="20.32" x2="67.31" y2="20.32" width="0.4064" layer="1"/>
+<wire x1="83.9216" y1="24.765" x2="73.66" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="73.66" y1="24.765" x2="69.215" y2="20.32" width="0.4064" layer="1"/>
+<wire x1="69.215" y1="20.32" x2="67.31" y2="20.32" width="0.4064" layer="1"/>
</signal>
<signal name="A7">
<contactref element="IC3" pad="7"/>
<contactref element="IC5" pad="3"/>
<contactref element="X1" pad="3"/>
-<wire x1="54.845" y1="17.145" x2="57.785" y2="17.145" width="0.4064" layer="1"/>
-<wire x1="57.785" y1="17.145" x2="59.055" y2="15.875" width="0.4064" layer="1"/>
-<wire x1="59.055" y1="15.875" x2="59.055" y2="12.065" width="0.4064" layer="1"/>
-<wire x1="59.055" y1="12.065" x2="59.69" y2="11.43" width="0.4064" layer="1"/>
-<wire x1="59.69" y1="11.43" x2="62.23" y2="11.43" width="0.4064" layer="1"/>
-<wire x1="78.8416" y1="17.145" x2="69.85" y2="17.145" width="0.4064" layer="1"/>
-<wire x1="69.85" y1="17.145" x2="64.135" y2="11.43" width="0.4064" layer="1"/>
-<wire x1="64.135" y1="11.43" x2="62.23" y2="11.43" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="23.495" x2="62.865" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="62.865" y1="23.495" x2="64.135" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="22.225" x2="64.135" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="18.415" x2="64.77" y2="17.78" width="0.4064" layer="1"/>
+<wire x1="64.77" y1="17.78" x2="67.31" y2="17.78" width="0.4064" layer="1"/>
+<wire x1="83.9216" y1="23.495" x2="74.93" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="74.93" y1="23.495" x2="69.215" y2="17.78" width="0.4064" layer="1"/>
+<wire x1="69.215" y1="17.78" x2="67.31" y2="17.78" width="0.4064" layer="1"/>
</signal>
<signal name="A8">
<contactref element="IC4" pad="15"/>
<contactref element="IC5" pad="25"/>
<contactref element="X2" pad="11"/>
-<wire x1="36.83" y1="13.97" x2="40.64" y2="13.97" width="0.4064" layer="1"/>
-<wire x1="40.64" y1="13.97" x2="41.275" y2="14.605" width="0.4064" layer="1"/>
-<wire x1="41.275" y1="14.605" x2="41.275" y2="17.78" width="0.4064" layer="1"/>
-<wire x1="41.275" y1="17.78" x2="41.91" y2="18.415" width="0.4064" layer="1"/>
-<wire x1="41.91" y1="18.415" x2="44.215" y2="18.415" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="13.97" x2="31.115" y2="13.97" width="0.4064" layer="16"/>
-<wire x1="31.115" y1="13.97" x2="30.48" y2="13.335" width="0.4064" layer="16"/>
-<wire x1="30.48" y1="13.335" x2="30.48" y2="10.16" width="0.4064" layer="16"/>
-<wire x1="13.4366" y1="12.065" x2="12.065" y2="12.065" width="0.4064" layer="1"/>
-<wire x1="12.065" y1="12.065" x2="11.43" y2="11.43" width="0.4064" layer="1"/>
-<wire x1="11.43" y1="11.43" x2="11.43" y2="9.525" width="0.4064" layer="1"/>
-<via x="11.43" y="9.525" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="11.43" y1="9.525" x2="20.32" y2="9.525" width="0.4064" layer="16"/>
-<via x="20.32" y="9.525" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="20.32" y1="9.525" x2="24.13" y2="9.525" width="0.4064" layer="1"/>
-<via x="24.13" y="9.525" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="24.13" y1="9.525" x2="29.845" y2="9.525" width="0.4064" layer="16"/>
-<wire x1="29.845" y1="9.525" x2="30.48" y2="10.16" width="0.4064" layer="16"/>
+<wire x1="41.91" y1="20.32" x2="45.72" y2="20.32" width="0.4064" layer="1"/>
+<wire x1="45.72" y1="20.32" x2="46.355" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="20.955" x2="46.355" y2="24.13" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="24.13" x2="46.99" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="24.765" x2="49.295" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="20.32" x2="36.195" y2="20.32" width="0.4064" layer="16"/>
+<wire x1="36.195" y1="20.32" x2="35.56" y2="19.685" width="0.4064" layer="16"/>
+<wire x1="35.56" y1="19.685" x2="35.56" y2="16.51" width="0.4064" layer="16"/>
+<wire x1="18.5166" y1="18.415" x2="17.145" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="17.145" y1="18.415" x2="16.51" y2="17.78" width="0.4064" layer="1"/>
+<wire x1="16.51" y1="17.78" x2="16.51" y2="15.875" width="0.4064" layer="1"/>
+<via x="16.51" y="15.875" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="16.51" y1="15.875" x2="25.4" y2="15.875" width="0.4064" layer="16"/>
+<via x="25.4" y="15.875" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="25.4" y1="15.875" x2="29.21" y2="15.875" width="0.4064" layer="1"/>
+<via x="29.21" y="15.875" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="29.21" y1="15.875" x2="34.925" y2="15.875" width="0.4064" layer="16"/>
+<wire x1="34.925" y1="15.875" x2="35.56" y2="16.51" width="0.4064" layer="16"/>
</signal>
<signal name="A9">
<contactref element="IC4" pad="1"/>
<contactref element="IC5" pad="24"/>
-<wire x1="19.5834" y1="10.795" x2="25.4" y2="10.795" width="0.4064" layer="1"/>
-<via x="25.4" y="10.795" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="25.4" y1="10.795" x2="25.4" y2="17.145" width="0.4064" layer="16"/>
-<via x="25.4" y="17.145" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="24.6634" y1="17.145" x2="30.48" y2="17.145" width="0.4064" layer="1"/>
+<via x="30.48" y="17.145" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="30.48" y1="17.145" x2="30.48" y2="23.495" width="0.4064" layer="16"/>
+<via x="30.48" y="23.495" extent="1-16" drill="0.6" shape="square"/>
<contactref element="X2" pad="10"/>
-<wire x1="36.83" y1="16.51" x2="39.37" y2="16.51" width="0.4064" layer="1"/>
-<wire x1="39.37" y1="16.51" x2="40.005" y2="17.145" width="0.4064" layer="1"/>
-<wire x1="40.005" y1="17.145" x2="40.005" y2="19.05" width="0.4064" layer="1"/>
-<wire x1="40.005" y1="19.05" x2="40.64" y2="19.685" width="0.4064" layer="1"/>
-<wire x1="40.64" y1="19.685" x2="44.215" y2="19.685" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="16.51" x2="33.02" y2="16.51" width="0.4064" layer="1"/>
-<wire x1="33.02" y1="16.51" x2="32.385" y2="17.145" width="0.4064" layer="1"/>
-<wire x1="32.385" y1="17.145" x2="25.4" y2="17.145" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="22.86" x2="44.45" y2="22.86" width="0.4064" layer="1"/>
+<wire x1="44.45" y1="22.86" x2="45.085" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="45.085" y1="23.495" x2="45.085" y2="25.4" width="0.4064" layer="1"/>
+<wire x1="45.085" y1="25.4" x2="45.72" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="45.72" y1="26.035" x2="49.295" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="22.86" x2="38.1" y2="22.86" width="0.4064" layer="1"/>
+<wire x1="38.1" y1="22.86" x2="37.465" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="37.465" y1="23.495" x2="30.48" y2="23.495" width="0.4064" layer="1"/>
</signal>
<signal name="A10">
<contactref element="IC4" pad="2"/>
<contactref element="IC5" pad="21"/>
-<wire x1="19.5834" y1="12.065" x2="26.67" y2="12.065" width="0.4064" layer="1"/>
-<via x="26.67" y="12.065" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="26.67" y1="12.065" x2="26.67" y2="24.13" width="0.4064" layer="16"/>
-<via x="26.67" y="24.13" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="24.6634" y1="18.415" x2="31.75" y2="18.415" width="0.4064" layer="1"/>
+<via x="31.75" y="18.415" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="31.75" y1="18.415" x2="31.75" y2="30.48" width="0.4064" layer="16"/>
+<via x="31.75" y="30.48" extent="1-16" drill="0.6" shape="square"/>
<contactref element="X2" pad="7"/>
-<wire x1="26.67" y1="24.13" x2="36.83" y2="24.13" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="24.13" x2="38.1" y2="24.13" width="0.4064" layer="1"/>
-<wire x1="38.1" y1="24.13" x2="38.735" y2="23.495" width="0.4064" layer="1"/>
-<wire x1="38.735" y1="23.495" x2="44.215" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="31.75" y1="30.48" x2="41.91" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="30.48" x2="43.18" y2="30.48" width="0.4064" layer="1"/>
+<wire x1="43.18" y1="30.48" x2="43.815" y2="29.845" width="0.4064" layer="1"/>
+<wire x1="43.815" y1="29.845" x2="49.295" y2="29.845" width="0.4064" layer="1"/>
</signal>
<signal name="A11">
<contactref element="IC4" pad="3"/>
<contactref element="IC5" pad="23"/>
-<wire x1="19.5834" y1="13.335" x2="27.94" y2="13.335" width="0.4064" layer="1"/>
-<via x="27.94" y="13.335" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="27.94" y1="13.335" x2="27.94" y2="19.05" width="0.4064" layer="16"/>
-<via x="27.94" y="19.05" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="24.6634" y1="19.685" x2="33.02" y2="19.685" width="0.4064" layer="1"/>
+<via x="33.02" y="19.685" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="33.02" y1="19.685" x2="33.02" y2="25.4" width="0.4064" layer="16"/>
+<via x="33.02" y="25.4" extent="1-16" drill="0.6" shape="square"/>
<contactref element="X2" pad="9"/>
-<wire x1="44.215" y1="20.955" x2="40.64" y2="20.955" width="0.4064" layer="1"/>
-<wire x1="40.64" y1="20.955" x2="38.735" y2="19.05" width="0.4064" layer="1"/>
-<wire x1="38.735" y1="19.05" x2="36.83" y2="19.05" width="0.4064" layer="1"/>
-<wire x1="27.94" y1="19.05" x2="36.83" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="49.295" y1="27.305" x2="45.72" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="45.72" y1="27.305" x2="43.815" y2="25.4" width="0.4064" layer="1"/>
+<wire x1="43.815" y1="25.4" x2="41.91" y2="25.4" width="0.4064" layer="1"/>
+<wire x1="33.02" y1="25.4" x2="41.91" y2="25.4" width="0.4064" layer="1"/>
</signal>
<signal name="A12">
<contactref element="IC4" pad="4"/>
<contactref element="IC5" pad="2"/>
-<wire x1="19.5834" y1="14.605" x2="29.21" y2="14.605" width="0.4064" layer="1"/>
+<wire x1="24.6634" y1="20.955" x2="34.29" y2="20.955" width="0.4064" layer="1"/>
<contactref element="X1" pad="2"/>
-<wire x1="57.785" y1="15.875" x2="57.15" y2="15.875" width="0.4064" layer="1"/>
-<wire x1="57.15" y1="15.875" x2="54.845" y2="15.875" width="0.4064" layer="1"/>
-<wire x1="62.23" y1="8.89" x2="59.055" y2="8.89" width="0.4064" layer="1"/>
-<wire x1="59.055" y1="8.89" x2="58.42" y2="9.525" width="0.4064" layer="1"/>
-<wire x1="58.42" y1="9.525" x2="58.42" y2="15.24" width="0.4064" layer="1"/>
-<wire x1="58.42" y1="15.24" x2="57.785" y2="15.875" width="0.4064" layer="1"/>
-<via x="29.21" y="14.605" extent="1-16" drill="0.6" shape="square"/>
-<via x="57.15" y="15.875" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="29.21" y1="14.605" x2="29.845" y2="15.24" width="0.4064" layer="16"/>
-<wire x1="29.845" y1="15.24" x2="56.515" y2="15.24" width="0.4064" layer="16"/>
-<wire x1="56.515" y1="15.24" x2="57.15" y2="15.875" width="0.4064" layer="16"/>
+<wire x1="62.865" y1="22.225" x2="59.925" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="67.31" y1="15.24" x2="64.135" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="64.135" y1="15.24" x2="63.5" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="63.5" y1="15.875" x2="63.5" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="63.5" y1="21.59" x2="62.865" y2="22.225" width="0.4064" layer="1"/>
+<via x="34.29" y="20.955" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="34.29" y1="20.955" x2="34.925" y2="21.59" width="0.4064" layer="16"/>
+<wire x1="34.925" y1="21.59" x2="62.865" y2="21.59" width="0.4064" layer="16"/>
+<wire x1="62.865" y1="21.59" x2="63.5" y2="20.955" width="0.4064" layer="16"/>
+<wire x1="63.5" y1="20.955" x2="63.5" y2="15.875" width="0.4064" layer="16"/>
+<wire x1="63.5" y1="15.875" x2="64.135" y2="15.24" width="0.4064" layer="16"/>
+<wire x1="64.135" y1="15.24" x2="67.31" y2="15.24" width="0.4064" layer="16"/>
</signal>
<signal name="A13">
<contactref element="IC4" pad="5"/>
<contactref element="IC5" pad="26"/>
<contactref element="X2" pad="12"/>
-<wire x1="36.83" y1="11.43" x2="41.275" y2="11.43" width="0.4064" layer="1"/>
-<wire x1="41.275" y1="11.43" x2="41.91" y2="12.065" width="0.4064" layer="1"/>
-<wire x1="41.91" y1="12.065" x2="41.91" y2="16.51" width="0.4064" layer="1"/>
-<wire x1="41.91" y1="16.51" x2="42.545" y2="17.145" width="0.4064" layer="1"/>
-<wire x1="42.545" y1="17.145" x2="44.215" y2="17.145" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="11.43" x2="31.115" y2="11.43" width="0.4064" layer="1"/>
-<wire x1="31.115" y1="11.43" x2="30.48" y2="12.065" width="0.4064" layer="1"/>
-<wire x1="30.48" y1="12.065" x2="30.48" y2="15.24" width="0.4064" layer="1"/>
-<wire x1="30.48" y1="15.24" x2="29.845" y2="15.875" width="0.4064" layer="1"/>
-<wire x1="29.845" y1="15.875" x2="19.5834" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="17.78" x2="46.355" y2="17.78" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="17.78" x2="46.99" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="18.415" x2="46.99" y2="22.86" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="22.86" x2="47.625" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="23.495" x2="49.295" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="17.78" x2="36.195" y2="17.78" width="0.4064" layer="1"/>
+<wire x1="36.195" y1="17.78" x2="35.56" y2="18.415" width="0.4064" layer="1"/>
+<wire x1="35.56" y1="18.415" x2="35.56" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="35.56" y1="21.59" x2="34.925" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="34.925" y1="22.225" x2="24.6634" y2="22.225" width="0.4064" layer="1"/>
</signal>
<signal name="A14">
<contactref element="IC4" pad="6"/>
<contactref element="IC5" pad="1"/>
-<wire x1="19.5834" y1="17.145" x2="22.225" y2="17.145" width="0.4064" layer="1"/>
-<via x="22.225" y="17.145" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="22.225" y1="17.145" x2="22.225" y2="7.62" width="0.4064" layer="16"/>
-<via x="22.225" y="7.62" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="22.225" y1="7.62" x2="57.15" y2="7.62" width="0.4064" layer="1"/>
+<wire x1="24.6634" y1="23.495" x2="27.305" y2="23.495" width="0.4064" layer="1"/>
+<via x="27.305" y="23.495" extent="1-16" drill="0.6" shape="square"/>
+<via x="30.48" y="14.605" extent="1-16" drill="0.6" shape="square"/>
<contactref element="X1" pad="1"/>
-<wire x1="54.845" y1="14.605" x2="56.515" y2="14.605" width="0.4064" layer="1"/>
-<wire x1="56.515" y1="14.605" x2="57.15" y2="13.97" width="0.4064" layer="1"/>
-<wire x1="57.15" y1="13.97" x2="57.15" y2="7.62" width="0.4064" layer="1"/>
-<wire x1="57.15" y1="7.62" x2="57.15" y2="6.985" width="0.4064" layer="1"/>
-<wire x1="57.15" y1="6.985" x2="57.785" y2="6.35" width="0.4064" layer="1"/>
-<wire x1="57.785" y1="6.35" x2="62.23" y2="6.35" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="20.955" x2="61.595" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="20.955" x2="62.23" y2="20.32" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="20.32" x2="62.23" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="13.97" x2="62.23" y2="13.335" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="13.335" x2="62.865" y2="12.7" width="0.4064" layer="1"/>
+<wire x1="62.865" y1="12.7" x2="67.31" y2="12.7" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="13.97" x2="31.115" y2="13.97" width="0.4064" layer="1"/>
+<wire x1="31.115" y1="13.97" x2="30.48" y2="14.605" width="0.4064" layer="1"/>
+<wire x1="30.48" y1="14.605" x2="27.94" y2="14.605" width="0.4064" layer="16"/>
+<wire x1="27.94" y1="14.605" x2="27.305" y2="15.24" width="0.4064" layer="16"/>
+<wire x1="27.305" y1="15.24" x2="27.305" y2="23.495" width="0.4064" layer="16"/>
</signal>
<signal name="N$1">
<contactref element="IC3" pad="9"/>
<contactref element="IC4" pad="14"/>
-<wire x1="13.4366" y1="13.335" x2="10.795" y2="13.335" width="0.4064" layer="1"/>
-<wire x1="10.795" y1="13.335" x2="10.16" y2="12.7" width="0.4064" layer="1"/>
-<wire x1="10.16" y1="4.445" x2="10.795" y2="3.81" width="0.4064" layer="1"/>
-<wire x1="10.795" y1="3.81" x2="86.995" y2="3.81" width="0.4064" layer="1"/>
-<wire x1="84.9884" y1="15.875" x2="86.995" y2="15.875" width="0.4064" layer="1"/>
-<wire x1="86.995" y1="15.875" x2="87.63" y2="15.24" width="0.4064" layer="1"/>
-<wire x1="87.63" y1="15.24" x2="87.63" y2="4.445" width="0.4064" layer="1"/>
-<wire x1="87.63" y1="4.445" x2="86.995" y2="3.81" width="0.4064" layer="1"/>
-<wire x1="10.16" y1="4.445" x2="10.16" y2="12.7" width="0.4064" layer="1"/>
-</signal>
-<signal name="A_EN">
-<contactref element="IC3" pad="13"/>
-<contactref element="IC4" pad="13"/>
-<contactref element="SV1" pad="2"/>
-<wire x1="3.81" y1="16.51" x2="6.985" y2="16.51" width="0.4064" layer="1"/>
-<wire x1="6.985" y1="16.51" x2="8.89" y2="14.605" width="0.4064" layer="1"/>
-<wire x1="8.89" y1="14.605" x2="9.525" y2="14.605" width="0.4064" layer="1"/>
-<wire x1="9.525" y1="14.605" x2="13.4366" y2="14.605" width="0.4064" layer="1"/>
-<wire x1="84.9884" y1="20.955" x2="90.17" y2="20.955" width="0.4064" layer="1"/>
-<wire x1="90.17" y1="20.955" x2="90.17" y2="3.175" width="0.4064" layer="1"/>
-<wire x1="90.17" y1="3.175" x2="89.535" y2="2.54" width="0.4064" layer="1"/>
-<wire x1="89.535" y1="2.54" x2="9.525" y2="2.54" width="0.4064" layer="1"/>
-<wire x1="9.525" y1="14.605" x2="9.525" y2="2.54" width="0.4064" layer="1"/>
+<wire x1="18.5166" y1="19.685" x2="15.875" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="19.685" x2="15.24" y2="19.05" width="0.4064" layer="1"/>
+<wire x1="15.24" y1="10.795" x2="15.875" y2="10.16" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="10.16" x2="92.075" y2="10.16" width="0.4064" layer="1"/>
+<wire x1="90.0684" y1="22.225" x2="92.075" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="92.075" y1="22.225" x2="92.71" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="92.71" y1="21.59" x2="92.71" y2="10.795" width="0.4064" layer="1"/>
+<wire x1="92.71" y1="10.795" x2="92.075" y2="10.16" width="0.4064" layer="1"/>
+<wire x1="15.24" y1="10.795" x2="15.24" y2="19.05" width="0.4064" layer="1"/>
</signal>
<signal name="D0">
<contactref element="IC1" pad="11"/>
<contactref element="IC2" pad="15"/>
<contactref element="IC5" pad="11"/>
<contactref element="X1" pad="11"/>
-<wire x1="54.845" y1="27.305" x2="57.785" y2="27.305" width="0.4064" layer="1"/>
-<wire x1="55.245" y1="43.18" x2="55.88" y2="43.18" width="0.4064" layer="1"/>
-<wire x1="55.88" y1="43.18" x2="56.515" y2="42.545" width="0.4064" layer="1"/>
-<wire x1="56.515" y1="42.545" x2="56.515" y2="40.005" width="0.4064" layer="1"/>
-<wire x1="56.515" y1="40.005" x2="55.88" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="55.88" y1="39.37" x2="52.07" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="52.07" y1="39.37" x2="51.435" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="51.435" y1="38.735" x2="51.435" y2="27.94" width="0.4064" layer="1"/>
-<wire x1="51.435" y1="27.94" x2="52.07" y2="27.305" width="0.4064" layer="1"/>
-<wire x1="52.07" y1="27.305" x2="54.845" y2="27.305" width="0.4064" layer="1"/>
-<wire x1="57.785" y1="27.305" x2="62.23" y2="31.75" width="0.4064" layer="1"/>
-<via x="55.245" y="43.18" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="55.245" y1="43.18" x2="52.705" y2="45.72" width="0.4064" layer="16"/>
-<wire x1="13.4366" y1="35.56" x2="15.24" y2="35.56" width="0.4064" layer="1"/>
-<wire x1="15.24" y1="35.56" x2="15.875" y2="36.195" width="0.4064" layer="1"/>
-<wire x1="15.875" y1="36.195" x2="15.875" y2="45.72" width="0.4064" layer="1"/>
-<via x="15.875" y="45.72" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="15.875" y1="45.72" x2="52.705" y2="45.72" width="0.4064" layer="16"/>
-<wire x1="52.6034" y1="43.18" x2="55.245" y2="43.18" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="33.655" x2="62.865" y2="33.655" width="0.4064" layer="1"/>
+<wire x1="60.325" y1="49.53" x2="60.96" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="60.96" y1="49.53" x2="61.595" y2="48.895" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="48.895" x2="61.595" y2="46.355" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="46.355" x2="60.96" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="60.96" y1="45.72" x2="57.15" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="45.72" x2="56.515" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="56.515" y1="45.085" x2="56.515" y2="34.29" width="0.4064" layer="1"/>
+<wire x1="56.515" y1="34.29" x2="57.15" y2="33.655" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="33.655" x2="59.925" y2="33.655" width="0.4064" layer="1"/>
+<wire x1="62.865" y1="33.655" x2="67.31" y2="38.1" width="0.4064" layer="1"/>
+<via x="60.325" y="49.53" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="18.5166" y1="41.91" x2="20.32" y2="41.91" width="0.4064" layer="1"/>
+<wire x1="20.32" y1="41.91" x2="20.955" y2="42.545" width="0.4064" layer="1"/>
+<wire x1="20.955" y1="42.545" x2="20.955" y2="53.34" width="0.4064" layer="1"/>
+<via x="20.955" y="53.34" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="20.955" y1="53.34" x2="58.42" y2="53.34" width="0.4064" layer="16"/>
+<wire x1="57.6834" y1="49.53" x2="60.325" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="58.42" y1="53.34" x2="60.325" y2="51.435" width="0.4064" layer="16"/>
+<wire x1="60.325" y1="51.435" x2="60.325" y2="49.53" width="0.4064" layer="16"/>
</signal>
<signal name="D1">
<contactref element="IC1" pad="12"/>
<contactref element="IC2" pad="1"/>
<contactref element="IC5" pad="12"/>
<contactref element="X1" pad="12"/>
-<wire x1="54.845" y1="28.575" x2="57.15" y2="28.575" width="0.4064" layer="1"/>
-<wire x1="52.6034" y1="44.45" x2="56.515" y2="44.45" width="0.4064" layer="1"/>
-<wire x1="56.515" y1="44.45" x2="57.15" y2="43.815" width="0.4064" layer="1"/>
-<wire x1="57.15" y1="43.815" x2="57.15" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="57.15" y1="39.37" x2="56.515" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="56.515" y1="38.735" x2="52.705" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="52.705" y1="38.735" x2="52.07" y2="38.1" width="0.4064" layer="1"/>
-<wire x1="52.07" y1="38.1" x2="52.07" y2="29.21" width="0.4064" layer="1"/>
-<wire x1="52.07" y1="29.21" x2="52.705" y2="28.575" width="0.4064" layer="1"/>
-<wire x1="52.705" y1="28.575" x2="54.845" y2="28.575" width="0.4064" layer="1"/>
-<wire x1="19.5834" y1="34.29" x2="26.67" y2="34.29" width="0.4064" layer="1"/>
-<via x="26.67" y="34.29" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="62.23" y1="34.29" x2="61.595" y2="34.29" width="0.4064" layer="1"/>
-<wire x1="61.595" y1="34.29" x2="59.69" y2="32.385" width="0.4064" layer="1"/>
-<wire x1="59.69" y1="32.385" x2="59.69" y2="31.115" width="0.4064" layer="1"/>
-<wire x1="59.69" y1="31.115" x2="57.15" y2="28.575" width="0.4064" layer="1"/>
-<wire x1="26.67" y1="34.29" x2="33.655" y2="34.29" width="0.4064" layer="16"/>
-<wire x1="33.655" y1="34.29" x2="34.925" y2="33.02" width="0.4064" layer="16"/>
-<wire x1="34.925" y1="33.02" x2="38.735" y2="33.02" width="0.4064" layer="16"/>
-<wire x1="38.735" y1="33.02" x2="40.005" y2="34.29" width="0.4064" layer="16"/>
-<wire x1="40.005" y1="34.29" x2="62.23" y2="34.29" width="0.4064" layer="16"/>
+<wire x1="59.925" y1="34.925" x2="62.23" y2="34.925" width="0.4064" layer="1"/>
+<wire x1="57.6834" y1="50.8" x2="61.595" y2="50.8" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="50.8" x2="62.23" y2="50.165" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="50.165" x2="62.23" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="45.72" x2="61.595" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="61.595" y1="45.085" x2="57.785" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="45.085" x2="57.15" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="44.45" x2="57.15" y2="35.56" width="0.4064" layer="1"/>
+<wire x1="57.15" y1="35.56" x2="57.785" y2="34.925" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="34.925" x2="59.925" y2="34.925" width="0.4064" layer="1"/>
+<wire x1="24.6634" y1="40.64" x2="31.75" y2="40.64" width="0.4064" layer="1"/>
+<via x="31.75" y="40.64" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="67.31" y1="40.64" x2="66.675" y2="40.64" width="0.4064" layer="1"/>
+<wire x1="66.675" y1="40.64" x2="64.77" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="64.77" y1="38.735" x2="64.77" y2="37.465" width="0.4064" layer="1"/>
+<wire x1="64.77" y1="37.465" x2="62.23" y2="34.925" width="0.4064" layer="1"/>
+<wire x1="31.75" y1="40.64" x2="38.735" y2="40.64" width="0.4064" layer="16"/>
+<wire x1="38.735" y1="40.64" x2="40.005" y2="39.37" width="0.4064" layer="16"/>
+<wire x1="40.005" y1="39.37" x2="43.815" y2="39.37" width="0.4064" layer="16"/>
+<wire x1="43.815" y1="39.37" x2="45.085" y2="40.64" width="0.4064" layer="16"/>
+<wire x1="45.085" y1="40.64" x2="67.31" y2="40.64" width="0.4064" layer="16"/>
</signal>
<signal name="D2">
<contactref element="IC1" pad="13"/>
<contactref element="IC2" pad="2"/>
<contactref element="IC5" pad="13"/>
<contactref element="X1" pad="13"/>
-<wire x1="54.845" y1="29.845" x2="56.515" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="52.6034" y1="45.72" x2="57.15" y2="45.72" width="0.4064" layer="1"/>
-<wire x1="57.15" y1="45.72" x2="57.785" y2="45.085" width="0.4064" layer="1"/>
-<wire x1="57.785" y1="45.085" x2="57.785" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="57.785" y1="38.735" x2="57.15" y2="38.1" width="0.4064" layer="1"/>
-<wire x1="57.15" y1="38.1" x2="53.34" y2="38.1" width="0.4064" layer="1"/>
-<wire x1="53.34" y1="38.1" x2="52.705" y2="37.465" width="0.4064" layer="1"/>
-<wire x1="52.705" y1="37.465" x2="52.705" y2="30.48" width="0.4064" layer="1"/>
-<wire x1="52.705" y1="30.48" x2="53.34" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="53.34" y1="29.845" x2="54.845" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="19.5834" y1="35.56" x2="26.67" y2="35.56" width="0.4064" layer="1"/>
-<via x="26.67" y="35.56" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="26.67" y1="35.56" x2="59.055" y2="35.56" width="0.4064" layer="16"/>
-<wire x1="59.055" y1="35.56" x2="60.325" y2="36.83" width="0.4064" layer="16"/>
-<wire x1="60.325" y1="36.83" x2="62.23" y2="36.83" width="0.4064" layer="16"/>
-<wire x1="56.515" y1="29.845" x2="58.42" y2="31.75" width="0.4064" layer="1"/>
-<wire x1="58.42" y1="31.75" x2="58.42" y2="33.655" width="0.4064" layer="1"/>
-<wire x1="58.42" y1="33.655" x2="61.595" y2="36.83" width="0.4064" layer="1"/>
-<wire x1="61.595" y1="36.83" x2="62.23" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="59.925" y1="36.195" x2="61.595" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="57.6834" y1="52.07" x2="62.23" y2="52.07" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="52.07" x2="62.865" y2="51.435" width="0.4064" layer="1"/>
+<wire x1="62.865" y1="51.435" x2="62.865" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="62.865" y1="45.085" x2="62.23" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="62.23" y1="44.45" x2="58.42" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="58.42" y1="44.45" x2="57.785" y2="43.815" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="43.815" x2="57.785" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="36.83" x2="58.42" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="58.42" y1="36.195" x2="59.925" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="24.6634" y1="41.91" x2="31.75" y2="41.91" width="0.4064" layer="1"/>
+<via x="31.75" y="41.91" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="31.75" y1="41.91" x2="64.135" y2="41.91" width="0.4064" layer="16"/>
+<wire x1="64.135" y1="41.91" x2="65.405" y2="43.18" width="0.4064" layer="16"/>
+<wire x1="65.405" y1="43.18" x2="67.31" y2="43.18" width="0.4064" layer="16"/>
+<wire x1="61.595" y1="36.195" x2="63.5" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="63.5" y1="38.1" x2="63.5" y2="40.005" width="0.4064" layer="1"/>
+<wire x1="63.5" y1="40.005" x2="66.675" y2="43.18" width="0.4064" layer="1"/>
+<wire x1="66.675" y1="43.18" x2="67.31" y2="43.18" width="0.4064" layer="1"/>
</signal>
<signal name="D3">
<contactref element="IC1" pad="14"/>
<contactref element="IC2" pad="3"/>
<contactref element="IC5" pad="15"/>
-<wire x1="19.5834" y1="36.83" x2="33.655" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="24.6634" y1="43.18" x2="38.735" y2="43.18" width="0.4064" layer="1"/>
<contactref element="X2" pad="1"/>
-<wire x1="52.6034" y1="46.99" x2="55.245" y2="46.99" width="0.4064" layer="1"/>
-<via x="55.245" y="46.99" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="36.83" y1="39.37" x2="57.15" y2="39.37" width="0.4064" layer="16"/>
-<wire x1="55.245" y1="46.99" x2="57.15" y2="46.99" width="0.4064" layer="16"/>
-<wire x1="57.15" y1="46.99" x2="57.785" y2="46.355" width="0.4064" layer="16"/>
-<wire x1="57.785" y1="46.355" x2="57.785" y2="40.005" width="0.4064" layer="16"/>
-<wire x1="57.785" y1="40.005" x2="57.15" y2="39.37" width="0.4064" layer="16"/>
-<wire x1="39.37" y1="37.465" x2="44.215" y2="32.62" width="0.4064" layer="1"/>
-<wire x1="44.215" y1="31.115" x2="44.215" y2="32.62" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="39.37" x2="38.735" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="38.735" y1="39.37" x2="39.37" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="39.37" y1="38.735" x2="39.37" y2="37.465" width="0.4064" layer="1"/>
-<wire x1="33.655" y1="36.83" x2="34.29" y2="37.465" width="0.4064" layer="1"/>
-<wire x1="34.29" y1="37.465" x2="34.29" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="34.29" y1="38.735" x2="34.925" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="34.925" y1="39.37" x2="36.83" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="57.6834" y1="53.34" x2="60.325" y2="53.34" width="0.4064" layer="1"/>
+<via x="60.325" y="53.34" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="41.91" y1="45.72" x2="62.23" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="60.325" y1="53.34" x2="62.23" y2="53.34" width="0.4064" layer="16"/>
+<wire x1="62.23" y1="53.34" x2="62.865" y2="52.705" width="0.4064" layer="16"/>
+<wire x1="62.865" y1="52.705" x2="62.865" y2="46.355" width="0.4064" layer="16"/>
+<wire x1="62.865" y1="46.355" x2="62.23" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="44.45" y1="43.815" x2="49.295" y2="38.97" width="0.4064" layer="1"/>
+<wire x1="49.295" y1="37.465" x2="49.295" y2="38.97" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="45.72" x2="43.815" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="43.815" y1="45.72" x2="44.45" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="44.45" y1="45.085" x2="44.45" y2="43.815" width="0.4064" layer="1"/>
+<wire x1="38.735" y1="43.18" x2="39.37" y2="43.815" width="0.4064" layer="1"/>
+<wire x1="39.37" y1="43.815" x2="39.37" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="39.37" y1="45.085" x2="40.005" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="40.005" y1="45.72" x2="41.91" y2="45.72" width="0.4064" layer="1"/>
</signal>
<signal name="D4">
<contactref element="IC1" pad="3"/>
<contactref element="IC2" pad="4"/>
-<wire x1="19.5834" y1="38.1" x2="26.67" y2="38.1" width="0.4064" layer="1"/>
-<via x="26.67" y="38.1" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="24.6634" y1="44.45" x2="31.75" y2="44.45" width="0.4064" layer="1"/>
+<via x="31.75" y="44.45" extent="1-16" drill="0.6" shape="square"/>
<contactref element="IC5" pad="16"/>
<contactref element="X2" pad="2"/>
-<wire x1="46.4566" y1="46.99" x2="41.275" y2="46.99" width="0.4064" layer="1"/>
-<wire x1="41.275" y1="46.99" x2="40.64" y2="46.355" width="0.4064" layer="1"/>
-<wire x1="40.64" y1="46.355" x2="40.64" y2="38.1" width="0.4064" layer="1"/>
-<wire x1="40.64" y1="38.1" x2="41.275" y2="37.465" width="0.4064" layer="1"/>
-<wire x1="41.275" y1="37.465" x2="45.72" y2="37.465" width="0.4064" layer="1"/>
-<wire x1="45.72" y1="37.465" x2="46.355" y2="36.83" width="0.4064" layer="1"/>
-<wire x1="46.355" y1="36.83" x2="46.355" y2="30.48" width="0.4064" layer="1"/>
-<wire x1="46.355" y1="30.48" x2="45.72" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="45.72" y1="29.845" x2="44.215" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="36.83" x2="37.465" y2="36.83" width="0.4064" layer="1"/>
-<wire x1="37.465" y1="36.83" x2="41.275" y2="33.02" width="0.4064" layer="1"/>
-<wire x1="41.275" y1="33.02" x2="41.275" y2="31.75" width="0.4064" layer="1"/>
-<wire x1="41.275" y1="31.75" x2="43.18" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="43.18" y1="29.845" x2="44.215" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="26.67" y1="38.1" x2="33.655" y2="38.1" width="0.4064" layer="16"/>
-<wire x1="33.655" y1="38.1" x2="34.925" y2="36.83" width="0.4064" layer="16"/>
-<wire x1="34.925" y1="36.83" x2="36.83" y2="36.83" width="0.4064" layer="16"/>
+<wire x1="51.5366" y1="53.34" x2="46.355" y2="53.34" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="53.34" x2="45.72" y2="52.705" width="0.4064" layer="1"/>
+<wire x1="45.72" y1="52.705" x2="45.72" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="45.72" y1="44.45" x2="46.355" y2="43.815" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="43.815" x2="50.8" y2="43.815" width="0.4064" layer="1"/>
+<wire x1="50.8" y1="43.815" x2="51.435" y2="43.18" width="0.4064" layer="1"/>
+<wire x1="51.435" y1="43.18" x2="51.435" y2="36.83" width="0.4064" layer="1"/>
+<wire x1="51.435" y1="36.83" x2="50.8" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="50.8" y1="36.195" x2="49.295" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="43.18" x2="42.545" y2="43.18" width="0.4064" layer="1"/>
+<wire x1="42.545" y1="43.18" x2="46.355" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="39.37" x2="46.355" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="38.1" x2="48.26" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="48.26" y1="36.195" x2="49.295" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="31.75" y1="44.45" x2="38.735" y2="44.45" width="0.4064" layer="16"/>
+<wire x1="38.735" y1="44.45" x2="40.005" y2="43.18" width="0.4064" layer="16"/>
+<wire x1="40.005" y1="43.18" x2="41.91" y2="43.18" width="0.4064" layer="16"/>
</signal>
<signal name="D5">
<contactref element="IC1" pad="4"/>
<contactref element="IC2" pad="5"/>
<contactref element="IC5" pad="17"/>
-<wire x1="19.5834" y1="39.37" x2="25.4" y2="39.37" width="0.4064" layer="1"/>
-<via x="25.4" y="39.37" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="24.6634" y1="45.72" x2="30.48" y2="45.72" width="0.4064" layer="1"/>
+<via x="30.48" y="45.72" extent="1-16" drill="0.6" shape="square"/>
<contactref element="X2" pad="3"/>
-<wire x1="36.83" y1="33.02" x2="36.83" y2="34.29" width="0.4064" layer="1"/>
-<wire x1="25.4" y1="39.37" x2="25.4" y2="33.02" width="0.4064" layer="16"/>
-<via x="25.4" y="33.02" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="46.4566" y1="45.72" x2="41.91" y2="45.72" width="0.4064" layer="1"/>
-<wire x1="41.91" y1="45.72" x2="41.275" y2="45.085" width="0.4064" layer="1"/>
-<wire x1="41.275" y1="45.085" x2="41.275" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="41.275" y1="38.735" x2="41.91" y2="38.1" width="0.4064" layer="1"/>
-<wire x1="41.91" y1="38.1" x2="46.355" y2="38.1" width="0.4064" layer="1"/>
-<wire x1="46.355" y1="38.1" x2="46.99" y2="37.465" width="0.4064" layer="1"/>
-<wire x1="46.99" y1="37.465" x2="46.99" y2="29.21" width="0.4064" layer="1"/>
-<wire x1="46.99" y1="29.21" x2="46.355" y2="28.575" width="0.4064" layer="1"/>
-<wire x1="46.355" y1="28.575" x2="44.215" y2="28.575" width="0.4064" layer="1"/>
-<wire x1="25.4" y1="33.02" x2="36.83" y2="33.02" width="0.4064" layer="1"/>
-<wire x1="44.215" y1="28.575" x2="43.18" y2="28.575" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="34.29" x2="38.735" y2="34.29" width="0.4064" layer="1"/>
-<wire x1="38.735" y1="34.29" x2="40.005" y2="33.02" width="0.4064" layer="1"/>
-<wire x1="40.005" y1="33.02" x2="40.005" y2="31.75" width="0.4064" layer="1"/>
-<wire x1="40.005" y1="31.75" x2="43.18" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="39.37" x2="41.91" y2="40.64" width="0.4064" layer="1"/>
+<wire x1="30.48" y1="45.72" x2="30.48" y2="39.37" width="0.4064" layer="16"/>
+<via x="30.48" y="39.37" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="51.5366" y1="52.07" x2="46.99" y2="52.07" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="52.07" x2="46.355" y2="51.435" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="51.435" x2="46.355" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="45.085" x2="46.99" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="44.45" x2="51.435" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="51.435" y1="44.45" x2="52.07" y2="43.815" width="0.4064" layer="1"/>
+<wire x1="52.07" y1="43.815" x2="52.07" y2="35.56" width="0.4064" layer="1"/>
+<wire x1="52.07" y1="35.56" x2="51.435" y2="34.925" width="0.4064" layer="1"/>
+<wire x1="51.435" y1="34.925" x2="49.295" y2="34.925" width="0.4064" layer="1"/>
+<wire x1="30.48" y1="39.37" x2="41.91" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="49.295" y1="34.925" x2="48.26" y2="34.925" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="40.64" x2="43.815" y2="40.64" width="0.4064" layer="1"/>
+<wire x1="43.815" y1="40.64" x2="45.085" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="45.085" y1="39.37" x2="45.085" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="45.085" y1="38.1" x2="48.26" y2="34.925" width="0.4064" layer="1"/>
</signal>
<signal name="D6">
<contactref element="IC1" pad="5"/>
<contactref element="IC2" pad="6"/>
<contactref element="IC5" pad="18"/>
-<wire x1="19.5834" y1="40.64" x2="24.13" y2="40.64" width="0.4064" layer="1"/>
-<via x="24.13" y="40.64" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="24.13" y1="40.64" x2="24.13" y2="33.02" width="0.4064" layer="16"/>
-<via x="24.13" y="33.02" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="24.6634" y1="46.99" x2="29.21" y2="46.99" width="0.4064" layer="1"/>
+<via x="29.21" y="46.99" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="29.21" y1="46.99" x2="29.21" y2="39.37" width="0.4064" layer="16"/>
+<via x="29.21" y="39.37" extent="1-16" drill="0.6" shape="square"/>
<contactref element="X2" pad="4"/>
-<wire x1="44.215" y1="27.305" x2="46.99" y2="27.305" width="0.4064" layer="1"/>
-<wire x1="46.99" y1="27.305" x2="47.625" y2="27.94" width="0.4064" layer="1"/>
-<wire x1="47.625" y1="27.94" x2="47.625" y2="38.1" width="0.4064" layer="1"/>
-<wire x1="47.625" y1="38.1" x2="46.99" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="46.99" y1="38.735" x2="42.545" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="42.545" y1="38.735" x2="41.91" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="41.91" y1="39.37" x2="41.91" y2="43.815" width="0.4064" layer="1"/>
-<wire x1="41.91" y1="43.815" x2="42.545" y2="44.45" width="0.4064" layer="1"/>
-<wire x1="42.545" y1="44.45" x2="46.4566" y2="44.45" width="0.4064" layer="1"/>
-<wire x1="24.13" y1="33.02" x2="24.13" y2="32.385" width="0.4064" layer="1"/>
-<wire x1="24.13" y1="32.385" x2="24.765" y2="31.75" width="0.4064" layer="1"/>
-<wire x1="24.765" y1="31.75" x2="36.83" y2="31.75" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="31.75" x2="38.735" y2="31.75" width="0.4064" layer="1"/>
-<wire x1="38.735" y1="31.75" x2="43.18" y2="27.305" width="0.4064" layer="1"/>
-<wire x1="43.18" y1="27.305" x2="44.215" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="49.295" y1="33.655" x2="52.07" y2="33.655" width="0.4064" layer="1"/>
+<wire x1="52.07" y1="33.655" x2="52.705" y2="34.29" width="0.4064" layer="1"/>
+<wire x1="52.705" y1="34.29" x2="52.705" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="52.705" y1="44.45" x2="52.07" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="52.07" y1="45.085" x2="47.625" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="45.085" x2="46.99" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="45.72" x2="46.99" y2="50.165" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="50.165" x2="47.625" y2="50.8" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="50.8" x2="51.5366" y2="50.8" width="0.4064" layer="1"/>
+<wire x1="29.21" y1="39.37" x2="29.21" y2="38.735" width="0.4064" layer="1"/>
+<wire x1="29.21" y1="38.735" x2="29.845" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="29.845" y1="38.1" x2="41.91" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="38.1" x2="43.815" y2="38.1" width="0.4064" layer="1"/>
+<wire x1="43.815" y1="38.1" x2="48.26" y2="33.655" width="0.4064" layer="1"/>
+<wire x1="48.26" y1="33.655" x2="49.295" y2="33.655" width="0.4064" layer="1"/>
</signal>
<signal name="D7">
<contactref element="IC1" pad="6"/>
<contactref element="IC2" pad="7"/>
<contactref element="IC5" pad="19"/>
-<wire x1="19.5834" y1="41.91" x2="22.86" y2="41.91" width="0.4064" layer="1"/>
-<via x="22.86" y="41.91" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="22.86" y1="41.91" x2="22.86" y2="33.02" width="0.4064" layer="16"/>
-<via x="22.86" y="33.02" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="24.6634" y1="48.26" x2="27.94" y2="48.26" width="0.4064" layer="1"/>
+<via x="27.94" y="48.26" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="27.94" y1="48.26" x2="27.94" y2="39.37" width="0.4064" layer="16"/>
+<via x="27.94" y="39.37" extent="1-16" drill="0.6" shape="square"/>
<contactref element="X2" pad="5"/>
-<wire x1="46.4566" y1="43.18" x2="43.18" y2="43.18" width="0.4064" layer="1"/>
-<wire x1="43.18" y1="43.18" x2="42.545" y2="42.545" width="0.4064" layer="1"/>
-<wire x1="42.545" y1="42.545" x2="42.545" y2="40.005" width="0.4064" layer="1"/>
-<wire x1="42.545" y1="40.005" x2="43.18" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="43.18" y1="39.37" x2="47.625" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="47.625" y1="39.37" x2="48.26" y2="38.735" width="0.4064" layer="1"/>
-<wire x1="48.26" y1="38.735" x2="48.26" y2="26.67" width="0.4064" layer="1"/>
-<wire x1="48.26" y1="26.67" x2="47.625" y2="26.035" width="0.4064" layer="1"/>
-<wire x1="47.625" y1="26.035" x2="44.215" y2="26.035" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="29.21" x2="23.495" y2="29.21" width="0.4064" layer="1"/>
-<wire x1="23.495" y1="29.21" x2="22.86" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="22.86" y1="29.845" x2="22.86" y2="33.02" width="0.4064" layer="1"/>
-<wire x1="41.91" y1="26.035" x2="44.215" y2="26.035" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="29.21" x2="38.735" y2="29.21" width="0.4064" layer="1"/>
-<wire x1="38.735" y1="29.21" x2="41.91" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="51.5366" y1="49.53" x2="48.26" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="48.26" y1="49.53" x2="47.625" y2="48.895" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="48.895" x2="47.625" y2="46.355" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="46.355" x2="48.26" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="48.26" y1="45.72" x2="52.705" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="52.705" y1="45.72" x2="53.34" y2="45.085" width="0.4064" layer="1"/>
+<wire x1="53.34" y1="45.085" x2="53.34" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="53.34" y1="33.02" x2="52.705" y2="32.385" width="0.4064" layer="1"/>
+<wire x1="52.705" y1="32.385" x2="49.295" y2="32.385" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="35.56" x2="28.575" y2="35.56" width="0.4064" layer="1"/>
+<wire x1="28.575" y1="35.56" x2="27.94" y2="36.195" width="0.4064" layer="1"/>
+<wire x1="27.94" y1="36.195" x2="27.94" y2="39.37" width="0.4064" layer="1"/>
+<wire x1="46.99" y1="32.385" x2="49.295" y2="32.385" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="35.56" x2="43.815" y2="35.56" width="0.4064" layer="1"/>
+<wire x1="43.815" y1="35.56" x2="46.99" y2="32.385" width="0.4064" layer="1"/>
</signal>
<signal name="N$2">
<contactref element="IC4" pad="9"/>
<contactref element="IC2" pad="14"/>
-<wire x1="13.4366" y1="36.83" x2="11.43" y2="36.83" width="0.4064" layer="1"/>
-<wire x1="11.43" y1="19.685" x2="13.4366" y2="19.685" width="0.4064" layer="1"/>
-<wire x1="11.43" y1="36.83" x2="10.795" y2="36.195" width="0.4064" layer="1"/>
-<wire x1="10.795" y1="36.195" x2="10.795" y2="20.32" width="0.4064" layer="1"/>
-<wire x1="10.795" y1="20.32" x2="11.43" y2="19.685" width="0.4064" layer="1"/>
+<wire x1="18.5166" y1="43.18" x2="16.51" y2="43.18" width="0.4064" layer="1"/>
+<wire x1="16.51" y1="26.035" x2="18.5166" y2="26.035" width="0.4064" layer="1"/>
+<wire x1="16.51" y1="43.18" x2="15.875" y2="42.545" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="42.545" x2="15.875" y2="26.67" width="0.4064" layer="1"/>
+<wire x1="15.875" y1="26.67" x2="16.51" y2="26.035" width="0.4064" layer="1"/>
</signal>
<signal name="N$3">
<contactref element="IC2" pad="9"/>
<contactref element="IC1" pad="10"/>
-<wire x1="52.6034" y1="41.91" x2="55.245" y2="41.91" width="0.4064" layer="1"/>
-<via x="55.245" y="41.91" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="12.065" y1="44.45" x2="11.43" y2="43.815" width="0.4064" layer="16"/>
-<wire x1="11.43" y1="43.815" x2="11.43" y2="43.18" width="0.4064" layer="16"/>
-<via x="11.43" y="43.18" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="11.43" y1="43.18" x2="13.4366" y2="43.18" width="0.4064" layer="1"/>
-<wire x1="55.245" y1="41.91" x2="50.8" y2="41.91" width="0.4064" layer="16"/>
-<wire x1="50.8" y1="41.91" x2="50.165" y2="42.545" width="0.4064" layer="16"/>
-<wire x1="50.165" y1="42.545" x2="50.165" y2="43.18" width="0.4064" layer="16"/>
-<wire x1="50.165" y1="43.18" x2="48.895" y2="44.45" width="0.4064" layer="16"/>
-<wire x1="48.895" y1="44.45" x2="12.065" y2="44.45" width="0.4064" layer="16"/>
-</signal>
-<signal name="D_IN">
-<contactref element="IC3" pad="14"/>
-<contactref element="SV1" pad="3"/>
-<wire x1="3.81" y1="13.97" x2="1.905" y2="13.97" width="0.4064" layer="1"/>
-<wire x1="1.905" y1="13.97" x2="1.27" y2="13.335" width="0.4064" layer="1"/>
-<wire x1="1.27" y1="13.335" x2="1.27" y2="2.54" width="0.4064" layer="1"/>
-<wire x1="1.27" y1="2.54" x2="2.54" y2="1.27" width="0.4064" layer="1"/>
-<wire x1="2.54" y1="1.27" x2="90.805" y2="1.27" width="0.4064" layer="1"/>
-<wire x1="90.805" y1="1.27" x2="91.44" y2="1.905" width="0.4064" layer="1"/>
-<wire x1="91.44" y1="1.905" x2="91.44" y2="21.59" width="0.4064" layer="1"/>
-<wire x1="91.44" y1="21.59" x2="90.805" y2="22.225" width="0.4064" layer="1"/>
-<wire x1="84.9884" y1="22.225" x2="90.805" y2="22.225" width="0.4064" layer="1"/>
-</signal>
-<signal name="D_CLK">
-<contactref element="IC3" pad="11"/>
-<contactref element="IC4" pad="11"/>
-<contactref element="IC2" pad="11"/>
-<contactref element="IC1" pad="2"/>
-<wire x1="13.4366" y1="17.145" x2="11.43" y2="17.145" width="0.4064" layer="1"/>
-<via x="11.43" y="17.145" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="11.43" y1="17.145" x2="8.255" y2="17.145" width="0.4064" layer="16"/>
-<wire x1="8.255" y1="17.145" x2="8.255" y2="40.005" width="0.4064" layer="16"/>
-<wire x1="8.255" y1="40.005" x2="8.89" y2="40.64" width="0.4064" layer="16"/>
-<wire x1="8.89" y1="40.64" x2="11.43" y2="40.64" width="0.4064" layer="16"/>
-<via x="11.43" y="40.64" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="11.43" y1="40.64" x2="13.4366" y2="40.64" width="0.4064" layer="1"/>
-<wire x1="10.16" y1="41.275" x2="10.16" y2="47.625" width="0.4064" layer="1"/>
-<wire x1="10.16" y1="47.625" x2="10.795" y2="48.26" width="0.4064" layer="1"/>
-<wire x1="10.795" y1="48.26" x2="43.815" y2="48.26" width="0.4064" layer="1"/>
-<wire x1="43.815" y1="48.26" x2="46.4566" y2="48.26" width="0.4064" layer="1"/>
-<wire x1="11.43" y1="40.64" x2="10.795" y2="40.64" width="0.4064" layer="1"/>
-<wire x1="10.795" y1="40.64" x2="10.16" y2="41.275" width="0.4064" layer="1"/>
-<contactref element="SV1" pad="4"/>
-<wire x1="84.9884" y1="18.415" x2="87.63" y2="18.415" width="0.4064" layer="1"/>
-<via x="87.63" y="18.415" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="87.63" y1="18.415" x2="67.31" y2="18.415" width="0.4064" layer="16"/>
-<wire x1="67.31" y1="18.415" x2="66.675" y2="19.05" width="0.4064" layer="16"/>
-<wire x1="66.675" y1="19.05" x2="66.675" y2="48.895" width="0.4064" layer="16"/>
-<via x="43.815" y="48.26" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="43.815" y1="48.26" x2="43.815" y2="48.895" width="0.4064" layer="16"/>
-<wire x1="43.815" y1="48.895" x2="44.45" y2="49.53" width="0.4064" layer="16"/>
-<wire x1="44.45" y1="49.53" x2="66.04" y2="49.53" width="0.4064" layer="16"/>
-<wire x1="66.04" y1="49.53" x2="66.675" y2="48.895" width="0.4064" layer="16"/>
-<wire x1="3.81" y1="11.43" x2="8.255" y2="11.43" width="0.4064" layer="1"/>
-<via x="8.255" y="11.43" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="8.255" y1="11.43" x2="8.255" y2="17.145" width="0.4064" layer="16"/>
+<wire x1="57.6834" y1="48.26" x2="60.325" y2="48.26" width="0.4064" layer="1"/>
+<via x="60.325" y="48.26" extent="1-16" drill="0.6" shape="square"/>
+<via x="16.51" y="52.07" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="57.785" y1="52.07" x2="16.51" y2="52.07" width="0.4064" layer="16"/>
+<wire x1="18.5166" y1="49.53" x2="17.145" y2="49.53" width="0.4064" layer="1"/>
+<wire x1="17.145" y1="49.53" x2="16.51" y2="50.165" width="0.4064" layer="1"/>
+<wire x1="16.51" y1="50.165" x2="16.51" y2="52.07" width="0.4064" layer="1"/>
+<wire x1="57.785" y1="52.07" x2="59.055" y2="50.8" width="0.4064" layer="16"/>
+<wire x1="59.055" y1="50.8" x2="59.055" y2="48.895" width="0.4064" layer="16"/>
+<wire x1="59.055" y1="48.895" x2="59.69" y2="48.26" width="0.4064" layer="16"/>
+<wire x1="59.69" y1="48.26" x2="60.325" y2="48.26" width="0.4064" layer="16"/>
</signal>
-<signal name="N$5">
-<contactref element="IC2" pad="12"/>
-<contactref element="IC4" pad="12"/>
-<contactref element="IC3" pad="12"/>
-<contactref element="IC1" pad="1"/>
-<wire x1="13.4366" y1="15.875" x2="10.16" y2="15.875" width="0.4064" layer="1"/>
-<wire x1="10.16" y1="15.875" x2="9.525" y2="16.51" width="0.4064" layer="1"/>
-<wire x1="9.525" y1="16.51" x2="9.525" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="9.525" y1="39.37" x2="9.525" y2="48.895" width="0.4064" layer="1"/>
-<wire x1="9.525" y1="39.37" x2="13.4366" y2="39.37" width="0.4064" layer="1"/>
-<wire x1="46.4566" y1="49.53" x2="45.085" y2="49.53" width="0.4064" layer="1"/>
-<wire x1="45.085" y1="49.53" x2="10.16" y2="49.53" width="0.4064" layer="1"/>
-<wire x1="10.16" y1="49.53" x2="9.525" y2="48.895" width="0.4064" layer="1"/>
-<wire x1="84.9884" y1="19.685" x2="88.9" y2="19.685" width="0.4064" layer="1"/>
-<wire x1="88.9" y1="29.21" x2="88.265" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="88.265" y1="29.845" x2="66.675" y2="29.845" width="0.4064" layer="1"/>
-<wire x1="66.675" y1="29.845" x2="66.04" y2="30.48" width="0.4064" layer="1"/>
-<wire x1="66.04" y1="30.48" x2="66.04" y2="53.975" width="0.4064" layer="1"/>
-<wire x1="66.04" y1="53.975" x2="65.405" y2="54.61" width="0.4064" layer="1"/>
-<wire x1="65.405" y1="54.61" x2="45.72" y2="54.61" width="0.4064" layer="1"/>
-<wire x1="45.72" y1="54.61" x2="45.085" y2="53.975" width="0.4064" layer="1"/>
-<wire x1="45.085" y1="53.975" x2="45.085" y2="49.53" width="0.4064" layer="1"/>
-<via x="88.9" y="19.685" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="88.9" y1="19.685" x2="88.9" y2="23.495" width="0.4064" layer="16"/>
-<via x="88.9" y="23.495" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="88.9" y1="23.495" x2="88.9" y2="29.21" width="0.4064" layer="1"/>
-</signal>
-<signal name="!WE">
+<signal name="!RAM_WE">
<contactref element="IC5" pad="27"/>
<contactref element="X2" pad="13"/>
-<wire x1="44.215" y1="15.875" x2="43.18" y2="15.875" width="0.4064" layer="1"/>
-<wire x1="43.18" y1="15.875" x2="42.545" y2="15.24" width="0.4064" layer="1"/>
-<wire x1="42.545" y1="15.24" x2="42.545" y2="9.525" width="0.4064" layer="1"/>
-<wire x1="42.545" y1="9.525" x2="41.91" y2="8.89" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="8.89" x2="41.91" y2="8.89" width="0.4064" layer="1"/>
+<wire x1="49.295" y1="22.225" x2="48.26" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="48.26" y1="22.225" x2="47.625" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="21.59" x2="47.625" y2="15.875" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="15.875" x2="46.99" y2="15.24" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="15.24" x2="46.99" y2="15.24" width="0.4064" layer="1"/>
+<contactref element="SV1" pad="8"/>
+<wire x1="26.035" y1="14.9225" x2="17.145" y2="14.9225" width="0.254" layer="16"/>
+<wire x1="17.145" y1="14.9225" x2="16.1925" y2="13.97" width="0.254" layer="16"/>
+<wire x1="16.1925" y1="13.97" x2="7.62" y2="13.97" width="0.4064" layer="16"/>
+<wire x1="7.62" y1="13.97" x2="6.985" y2="14.605" width="0.4064" layer="16"/>
+<wire x1="6.985" y1="14.605" x2="6.985" y2="17.4625" width="0.4064" layer="16"/>
+<wire x1="6.985" y1="17.4625" x2="7.3025" y2="17.78" width="0.4064" layer="16"/>
+<wire x1="7.3025" y1="17.78" x2="9.525" y2="17.78" width="0.4064" layer="16"/>
+<wire x1="41.91" y1="15.24" x2="40.64" y2="15.24" width="0.4064" layer="16"/>
+<wire x1="40.64" y1="15.24" x2="38.735" y2="13.335" width="0.4064" layer="16"/>
+<wire x1="38.735" y1="13.335" x2="27.305" y2="13.335" width="0.4064" layer="16"/>
+<wire x1="27.305" y1="13.335" x2="26.67" y2="13.97" width="0.4064" layer="16"/>
+<wire x1="26.67" y1="13.97" x2="26.035" y2="14.9225" width="0.254" layer="16"/>
</signal>
<signal name="!RAM_CS">
<contactref element="IC5" pad="20"/>
<contactref element="X2" pad="6"/>
-<wire x1="40.64" y1="24.765" x2="44.215" y2="24.765" width="0.4064" layer="1"/>
-<wire x1="36.83" y1="26.67" x2="38.735" y2="26.67" width="0.4064" layer="1"/>
-<wire x1="38.735" y1="26.67" x2="40.64" y2="24.765" width="0.4064" layer="1"/>
+<wire x1="45.72" y1="31.115" x2="49.295" y2="31.115" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="33.02" x2="43.815" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="43.815" y1="33.02" x2="45.72" y2="31.115" width="0.4064" layer="1"/>
+<contactref element="SV1" pad="9"/>
+<wire x1="41.91" y1="33.02" x2="16.51" y2="33.02" width="0.4064" layer="16"/>
+<wire x1="16.51" y1="33.02" x2="15.875" y2="32.385" width="0.4064" layer="16"/>
+<wire x1="15.875" y1="32.385" x2="15.875" y2="22.86" width="0.4064" layer="16"/>
+<wire x1="15.875" y1="22.86" x2="14.605" y2="21.59" width="0.4064" layer="16"/>
+<wire x1="14.605" y1="21.59" x2="13.335" y2="21.59" width="0.4064" layer="16"/>
+<wire x1="13.335" y1="21.59" x2="12.065" y2="20.32" width="0.4064" layer="16"/>
+<wire x1="12.065" y1="20.32" x2="12.065" y2="15.875" width="0.4064" layer="16"/>
+<wire x1="12.065" y1="15.875" x2="11.43" y2="15.24" width="0.4064" layer="16"/>
+<wire x1="11.43" y1="15.24" x2="9.525" y2="15.24" width="0.4064" layer="16"/>
</signal>
-<signal name="!OE">
+<signal name="!RAM_OE">
<contactref element="IC5" pad="22"/>
<contactref element="X2" pad="8"/>
-<wire x1="44.215" y1="22.225" x2="40.005" y2="22.225" width="0.4064" layer="1"/>
-<wire x1="40.005" y1="22.225" x2="39.37" y2="21.59" width="0.4064" layer="1"/>
-<wire x1="39.37" y1="21.59" x2="36.83" y2="21.59" width="0.4064" layer="1"/>
+<wire x1="45.085" y1="28.575" x2="44.45" y2="27.94" width="0.4064" layer="1"/>
+<wire x1="44.45" y1="27.94" x2="41.91" y2="27.94" width="0.4064" layer="1"/>
+<contactref element="SV1" pad="7"/>
+<wire x1="49.295" y1="28.575" x2="45.085" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="41.91" y1="27.94" x2="30.48" y2="27.94" width="0.4064" layer="1"/>
+<via x="30.48" y="27.94" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="30.48" y1="27.94" x2="28.575" y2="27.94" width="0.4064" layer="16"/>
+<wire x1="28.575" y1="27.94" x2="20.955" y2="20.32" width="0.4064" layer="16"/>
+<wire x1="20.955" y1="20.32" x2="15.24" y2="20.32" width="0.4064" layer="16"/>
+<wire x1="15.24" y1="20.32" x2="13.97" y2="19.05" width="0.4064" layer="16"/>
+<via x="13.97" y="19.05" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="13.97" y1="19.05" x2="12.7" y2="20.32" width="0.4064" layer="1"/>
+<wire x1="12.7" y1="20.32" x2="9.525" y2="20.32" width="0.4064" layer="1"/>
</signal>
-<signal name="D_OUT">
-<contactref element="SV1" pad="5"/>
+<signal name="BIT_IN">
+<contactref element="SV1" pad="2"/>
+<contactref element="IC3" pad="14"/>
+<wire x1="90.0684" y1="28.575" x2="93.345" y2="28.575" width="0.4064" layer="1"/>
+<wire x1="93.345" y1="28.575" x2="93.98" y2="27.94" width="0.4064" layer="1"/>
+<wire x1="93.98" y1="27.94" x2="93.98" y2="9.525" width="0.4064" layer="1"/>
+<wire x1="93.98" y1="9.525" x2="93.345" y2="8.89" width="0.4064" layer="1"/>
+<wire x1="93.345" y1="8.89" x2="6.985" y2="8.89" width="0.4064" layer="1"/>
+<wire x1="6.985" y1="8.89" x2="6.35" y2="9.525" width="0.4064" layer="1"/>
+<wire x1="6.35" y1="9.525" x2="6.35" y2="32.385" width="0.4064" layer="1"/>
+<wire x1="6.35" y1="32.385" x2="6.985" y2="33.02" width="0.4064" layer="1"/>
+<wire x1="6.985" y1="33.02" x2="9.525" y2="33.02" width="0.4064" layer="1"/>
+</signal>
+<signal name="BIT_OUT">
+<contactref element="SV1" pad="3"/>
<contactref element="IC1" pad="9"/>
-<wire x1="52.6034" y1="40.64" x2="55.245" y2="40.64" width="0.4064" layer="1"/>
-<via x="55.245" y="40.64" extent="1-16" drill="0.6" shape="square"/>
-<wire x1="55.245" y1="40.64" x2="55.88" y2="40.64" width="0.4064" layer="16"/>
-<wire x1="55.88" y1="40.64" x2="56.515" y2="41.275" width="0.4064" layer="16"/>
-<wire x1="56.515" y1="41.275" x2="56.515" y2="45.085" width="0.4064" layer="16"/>
-<wire x1="6.985" y1="46.355" x2="6.985" y2="9.525" width="0.4064" layer="16"/>
-<wire x1="56.515" y1="45.085" x2="55.88" y2="45.72" width="0.4064" layer="16"/>
-<wire x1="6.985" y1="46.355" x2="7.62" y2="46.99" width="0.4064" layer="16"/>
-<wire x1="7.62" y1="46.99" x2="53.34" y2="46.99" width="0.4064" layer="16"/>
-<wire x1="53.34" y1="46.99" x2="54.61" y2="45.72" width="0.4064" layer="16"/>
-<wire x1="54.61" y1="45.72" x2="55.88" y2="45.72" width="0.4064" layer="16"/>
-<wire x1="6.985" y1="9.525" x2="6.35" y2="8.89" width="0.4064" layer="16"/>
-<wire x1="6.35" y1="8.89" x2="3.81" y2="8.89" width="0.4064" layer="16"/>
+<wire x1="57.6834" y1="46.99" x2="60.325" y2="46.99" width="0.4064" layer="1"/>
+<via x="60.325" y="46.99" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="60.325" y1="46.99" x2="59.055" y2="46.99" width="0.4064" layer="16"/>
+<wire x1="59.055" y1="46.99" x2="57.785" y2="48.26" width="0.4064" layer="16"/>
+<wire x1="57.785" y1="48.26" x2="57.785" y2="50.165" width="0.4064" layer="16"/>
+<wire x1="57.785" y1="50.165" x2="57.15" y2="50.8" width="0.4064" layer="16"/>
+<wire x1="57.15" y1="50.8" x2="11.43" y2="50.8" width="0.4064" layer="16"/>
+<wire x1="9.525" y1="30.48" x2="11.43" y2="30.48" width="0.4064" layer="16"/>
+<wire x1="11.43" y1="30.48" x2="12.065" y2="31.115" width="0.4064" layer="16"/>
+<wire x1="12.065" y1="31.115" x2="12.065" y2="44.45" width="0.4064" layer="16"/>
+<wire x1="12.065" y1="44.45" x2="10.795" y2="45.72" width="0.4064" layer="16"/>
+<wire x1="10.795" y1="45.72" x2="10.795" y2="50.165" width="0.4064" layer="16"/>
+<wire x1="10.795" y1="50.165" x2="11.43" y2="50.8" width="0.4064" layer="16"/>
+</signal>
+<signal name="BIT_CLK">
+<contactref element="SV1" pad="4"/>
+<contactref element="IC2" pad="11"/>
+<contactref element="IC1" pad="2"/>
+<contactref element="IC4" pad="11"/>
+<contactref element="IC3" pad="11"/>
+<wire x1="18.5166" y1="23.495" x2="14.605" y2="23.495" width="0.4064" layer="1"/>
+<wire x1="14.605" y1="23.495" x2="10.16" y2="27.94" width="0.4064" layer="1"/>
+<wire x1="10.16" y1="27.94" x2="9.525" y2="27.94" width="0.4064" layer="1"/>
+<wire x1="18.5166" y1="46.99" x2="12.7" y2="46.99" width="0.4064" layer="1"/>
+<via x="12.7" y="46.99" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="12.7" y1="46.99" x2="12.7" y2="28.575" width="0.4064" layer="16"/>
+<wire x1="12.7" y1="28.575" x2="12.065" y2="27.94" width="0.4064" layer="16"/>
+<wire x1="12.065" y1="27.94" x2="9.525" y2="27.94" width="0.4064" layer="16"/>
+<wire x1="51.5366" y1="54.61" x2="48.895" y2="54.61" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="55.88" x2="13.335" y2="55.88" width="0.4064" layer="1"/>
+<wire x1="13.335" y1="55.88" x2="12.7" y2="55.245" width="0.4064" layer="1"/>
+<wire x1="12.7" y1="55.245" x2="12.7" y2="46.99" width="0.4064" layer="1"/>
+<via x="48.895" y="54.61" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="90.0684" y1="24.765" x2="92.075" y2="24.765" width="0.4064" layer="1"/>
+<via x="92.075" y="24.765" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="92.075" y1="24.765" x2="78.74" y2="24.765" width="0.4064" layer="16"/>
+<wire x1="78.74" y1="24.765" x2="77.47" y2="26.035" width="0.4064" layer="16"/>
+<wire x1="77.47" y1="26.035" x2="77.47" y2="53.975" width="0.4064" layer="16"/>
+<wire x1="77.47" y1="53.975" x2="76.835" y2="54.61" width="0.4064" layer="16"/>
+<wire x1="76.835" y1="54.61" x2="48.895" y2="54.61" width="0.4064" layer="16"/>
+<wire x1="48.895" y1="54.61" x2="47.625" y2="54.61" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="54.61" x2="46.355" y2="55.88" width="0.4064" layer="1"/>
+</signal>
+<signal name="BYTE_CLK">
+<contactref element="SV1" pad="5"/>
+<contactref element="IC4" pad="12"/>
+<contactref element="IC3" pad="12"/>
+<contactref element="IC2" pad="12"/>
+<contactref element="IC1" pad="1"/>
+<wire x1="18.5166" y1="22.225" x2="13.97" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="9.525" y1="25.4" x2="10.795" y2="25.4" width="0.4064" layer="1"/>
+<wire x1="10.795" y1="25.4" x2="13.97" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="18.5166" y1="45.72" x2="13.97" y2="45.72" width="0.4064" layer="1"/>
+<via x="13.97" y="45.72" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="13.97" y1="45.72" x2="13.97" y2="26.035" width="0.4064" layer="16"/>
+<wire x1="13.97" y1="26.035" x2="13.335" y2="25.4" width="0.4064" layer="16"/>
+<wire x1="13.335" y1="25.4" x2="9.525" y2="25.4" width="0.4064" layer="16"/>
+<wire x1="51.5366" y1="55.88" x2="48.895" y2="55.88" width="0.4064" layer="1"/>
+<wire x1="46.355" y1="57.15" x2="12.7" y2="57.15" width="0.4064" layer="1"/>
+<wire x1="12.7" y1="57.15" x2="11.43" y2="55.88" width="0.4064" layer="1"/>
+<wire x1="11.43" y1="55.88" x2="11.43" y2="46.355" width="0.4064" layer="1"/>
+<wire x1="11.43" y1="46.355" x2="12.065" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="12.065" y1="45.72" x2="13.97" y2="45.72" width="0.4064" layer="1"/>
+<wire x1="90.0684" y1="26.035" x2="92.075" y2="26.035" width="0.4064" layer="1"/>
+<via x="92.075" y="26.035" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="92.075" y1="26.035" x2="79.375" y2="26.035" width="0.4064" layer="16"/>
+<wire x1="79.375" y1="26.035" x2="78.74" y2="26.67" width="0.4064" layer="16"/>
+<wire x1="78.74" y1="26.67" x2="78.74" y2="54.61" width="0.4064" layer="16"/>
+<wire x1="78.74" y1="54.61" x2="77.47" y2="55.88" width="0.4064" layer="16"/>
+<wire x1="77.47" y1="55.88" x2="48.895" y2="55.88" width="0.4064" layer="16"/>
+<via x="48.895" y="55.88" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="48.895" y1="55.88" x2="47.625" y2="55.88" width="0.4064" layer="1"/>
+<wire x1="47.625" y1="55.88" x2="46.355" y2="57.15" width="0.4064" layer="1"/>
+</signal>
+<signal name="!CTRL_OE">
+<contactref element="SV1" pad="6"/>
+<contactref element="IC4" pad="13"/>
+<contactref element="IC2" pad="13"/>
+<contactref element="IC3" pad="13"/>
+<wire x1="13.335" y1="20.955" x2="18.5166" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="90.0684" y1="27.305" x2="92.71" y2="27.305" width="0.4064" layer="1"/>
+<wire x1="92.71" y1="27.305" x2="93.345" y2="26.67" width="0.4064" layer="1"/>
+<wire x1="93.345" y1="26.67" x2="93.345" y2="10.16" width="0.4064" layer="1"/>
+<wire x1="93.345" y1="10.16" x2="92.71" y2="9.525" width="0.4064" layer="1"/>
+<wire x1="92.71" y1="9.525" x2="7.62" y2="9.525" width="0.4064" layer="1"/>
+<wire x1="11.43" y1="22.86" x2="13.335" y2="20.955" width="0.4064" layer="1"/>
+<wire x1="9.525" y1="22.86" x2="14.605" y2="22.86" width="0.4064" layer="16"/>
+<wire x1="14.605" y1="22.86" x2="15.24" y2="23.495" width="0.4064" layer="16"/>
+<wire x1="15.24" y1="23.495" x2="15.24" y2="44.45" width="0.4064" layer="16"/>
+<via x="15.24" y="44.45" extent="1-16" drill="0.6" shape="square"/>
+<wire x1="15.24" y1="44.45" x2="18.5166" y2="44.45" width="0.4064" layer="1"/>
+<wire x1="11.43" y1="22.86" x2="9.525" y2="22.86" width="0.4064" layer="1"/>
+<wire x1="7.62" y1="9.525" x2="6.985" y2="10.16" width="0.4064" layer="1"/>
+<wire x1="6.985" y1="10.16" x2="6.985" y2="22.225" width="0.4064" layer="1"/>
+<wire x1="6.985" y1="22.225" x2="7.62" y2="22.86" width="0.4064" layer="1"/>
+<wire x1="7.62" y1="22.86" x2="9.525" y2="22.86" width="0.4064" layer="1"/>
</signal>
</signals>
</board>
diff --git a/hardware/ram-ice.sch b/hardware/ram-ice.sch
index 461aaff..f526ee4 100644
--- a/hardware/ram-ice.sch
+++ b/hardware/ram-ice.sch
@@ -4571,101 +4571,145 @@ MA = male&lt;p&gt;
W = angled&lt;p&gt;
&lt;author&gt;Created by librarian@cadsoft.de&lt;/author&gt;</description>
<packages>
-<package name="MA06-1">
+<package name="MA10-1">
<description>&lt;b&gt;PIN HEADER&lt;/b&gt;</description>
+<wire x1="-12.065" y1="1.27" x2="-10.795" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-10.795" y1="1.27" x2="-10.16" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-10.16" y1="-0.635" x2="-10.795" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-10.16" y1="0.635" x2="-9.525" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-9.525" y1="1.27" x2="-8.255" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-8.255" y1="1.27" x2="-7.62" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-7.62" y1="-0.635" x2="-8.255" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-8.255" y1="-1.27" x2="-9.525" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-9.525" y1="-1.27" x2="-10.16" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-12.7" y1="0.635" x2="-12.7" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-12.065" y1="1.27" x2="-12.7" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-12.7" y1="-0.635" x2="-12.065" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-10.795" y1="-1.27" x2="-12.065" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-7.62" y1="0.635" x2="-6.985" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-6.985" y1="1.27" x2="-5.715" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-5.715" y1="1.27" x2="-5.08" y2="0.635" width="0.1524" layer="21"/>
<wire x1="-5.08" y1="-0.635" x2="-5.715" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-5.08" y1="0.635" x2="-4.445" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="-5.715" y1="-1.27" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-6.985" y1="-1.27" x2="-7.62" y2="-0.635" width="0.1524" layer="21"/>
<wire x1="-4.445" y1="1.27" x2="-3.175" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-3.175" y1="1.27" x2="-2.54" y2="0.635" width="0.1524" layer="21"/>
<wire x1="-2.54" y1="-0.635" x2="-3.175" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-4.445" y1="1.27" x2="-5.08" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-5.08" y1="-0.635" x2="-4.445" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="-3.175" y1="-1.27" x2="-4.445" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-4.445" y1="-1.27" x2="-5.08" y2="-0.635" width="0.1524" layer="21"/>
-<wire x1="-7.62" y1="0.635" x2="-7.62" y2="-0.635" width="0.1524" layer="21"/>
-<wire x1="-6.985" y1="1.27" x2="-7.62" y2="0.635" width="0.1524" layer="21"/>
-<wire x1="-7.62" y1="-0.635" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-5.715" y1="-1.27" x2="-6.985" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-2.54" y1="0.635" x2="-1.905" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-1.905" y1="1.27" x2="-0.635" y2="1.27" width="0.1524" layer="21"/>
<wire x1="-0.635" y1="1.27" x2="0" y2="0.635" width="0.1524" layer="21"/>
<wire x1="0" y1="-0.635" x2="-0.635" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-0.635" y1="-1.27" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="-1.905" y1="-1.27" x2="-2.54" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="0" y1="0.635" x2="0.635" y2="1.27" width="0.1524" layer="21"/>
<wire x1="0.635" y1="1.27" x2="1.905" y2="1.27" width="0.1524" layer="21"/>
<wire x1="1.905" y1="1.27" x2="2.54" y2="0.635" width="0.1524" layer="21"/>
<wire x1="2.54" y1="-0.635" x2="1.905" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="1.905" y1="-1.27" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="0.635" y1="-1.27" x2="0" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="-1.905" y1="1.27" x2="-2.54" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="-2.54" y1="-0.635" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="-0.635" y1="-1.27" x2="-1.905" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="2.54" y1="0.635" x2="3.175" y2="1.27" width="0.1524" layer="21"/>
<wire x1="3.175" y1="1.27" x2="4.445" y2="1.27" width="0.1524" layer="21"/>
<wire x1="4.445" y1="1.27" x2="5.08" y2="0.635" width="0.1524" layer="21"/>
<wire x1="5.08" y1="-0.635" x2="4.445" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="4.445" y1="-1.27" x2="3.175" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="3.175" y1="-1.27" x2="2.54" y2="-0.635" width="0.1524" layer="21"/>
-<wire x1="0.635" y1="1.27" x2="0" y2="0.635" width="0.1524" layer="21"/>
-<wire x1="0" y1="-0.635" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="1.905" y1="-1.27" x2="0.635" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="5.08" y1="0.635" x2="5.715" y2="1.27" width="0.1524" layer="21"/>
<wire x1="5.715" y1="1.27" x2="6.985" y2="1.27" width="0.1524" layer="21"/>
<wire x1="6.985" y1="1.27" x2="7.62" y2="0.635" width="0.1524" layer="21"/>
<wire x1="7.62" y1="-0.635" x2="6.985" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="5.715" y1="1.27" x2="5.08" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="5.08" y1="-0.635" x2="5.715" y2="-1.27" width="0.1524" layer="21"/>
<wire x1="6.985" y1="-1.27" x2="5.715" y2="-1.27" width="0.1524" layer="21"/>
-<wire x1="5.715" y1="-1.27" x2="5.08" y2="-0.635" width="0.1524" layer="21"/>
-<wire x1="7.62" y1="0.635" x2="7.62" y2="-0.635" width="0.1524" layer="21"/>
-<pad name="1" x="-6.35" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="2" x="-3.81" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="3" x="-1.27" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="4" x="1.27" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="5" x="3.81" y="0" drill="1.016" shape="long" rot="R90"/>
-<pad name="6" x="6.35" y="0" drill="1.016" shape="long" rot="R90"/>
-<text x="-7.62" y="1.651" size="1.27" layer="25" ratio="10">&gt;NAME</text>
-<text x="-6.985" y="-2.921" size="1.27" layer="21" ratio="10">1</text>
-<text x="5.715" y="1.651" size="1.27" layer="21" ratio="10">6</text>
-<text x="-2.54" y="-2.921" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
-<rectangle x1="-4.064" y1="-0.254" x2="-3.556" y2="0.254" layer="51"/>
+<wire x1="8.255" y1="1.27" x2="9.525" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="9.525" y1="1.27" x2="10.16" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="10.16" y1="-0.635" x2="9.525" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="10.16" y1="0.635" x2="10.795" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="10.795" y1="1.27" x2="12.065" y2="1.27" width="0.1524" layer="21"/>
+<wire x1="12.065" y1="1.27" x2="12.7" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="12.7" y1="-0.635" x2="12.065" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="12.065" y1="-1.27" x2="10.795" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="10.795" y1="-1.27" x2="10.16" y2="-0.635" width="0.1524" layer="21"/>
+<wire x1="8.255" y1="1.27" x2="7.62" y2="0.635" width="0.1524" layer="21"/>
+<wire x1="7.62" y1="-0.635" x2="8.255" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="9.525" y1="-1.27" x2="8.255" y2="-1.27" width="0.1524" layer="21"/>
+<wire x1="12.7" y1="0.635" x2="12.7" y2="-0.635" width="0.1524" layer="21"/>
+<pad name="1" x="-11.43" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="2" x="-8.89" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="3" x="-6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="4" x="-3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="5" x="-1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="6" x="1.27" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="7" x="3.81" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="8" x="6.35" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="9" x="8.89" y="0" drill="1.016" shape="long" rot="R90"/>
+<pad name="10" x="11.43" y="0" drill="1.016" shape="long" rot="R90"/>
+<text x="-12.7" y="1.651" size="1.27" layer="25" ratio="10">&gt;NAME</text>
+<text x="-11.938" y="-2.921" size="1.27" layer="21" ratio="10">1</text>
+<text x="10.795" y="1.651" size="1.27" layer="21" ratio="10">10</text>
+<text x="1.27" y="-2.921" size="1.27" layer="27" ratio="10">&gt;VALUE</text>
+<rectangle x1="-9.144" y1="-0.254" x2="-8.636" y2="0.254" layer="51"/>
+<rectangle x1="-11.684" y1="-0.254" x2="-11.176" y2="0.254" layer="51"/>
<rectangle x1="-6.604" y1="-0.254" x2="-6.096" y2="0.254" layer="51"/>
+<rectangle x1="-4.064" y1="-0.254" x2="-3.556" y2="0.254" layer="51"/>
+<rectangle x1="1.016" y1="-0.254" x2="1.524" y2="0.254" layer="51"/>
<rectangle x1="-1.524" y1="-0.254" x2="-1.016" y2="0.254" layer="51"/>
<rectangle x1="3.556" y1="-0.254" x2="4.064" y2="0.254" layer="51"/>
-<rectangle x1="1.016" y1="-0.254" x2="1.524" y2="0.254" layer="51"/>
<rectangle x1="6.096" y1="-0.254" x2="6.604" y2="0.254" layer="51"/>
+<rectangle x1="11.176" y1="-0.254" x2="11.684" y2="0.254" layer="51"/>
+<rectangle x1="8.636" y1="-0.254" x2="9.144" y2="0.254" layer="51"/>
</package>
</packages>
<symbols>
-<symbol name="MA06-1">
-<wire x1="3.81" y1="-10.16" x2="-1.27" y2="-10.16" width="0.4064" layer="94"/>
-<wire x1="1.27" y1="-2.54" x2="2.54" y2="-2.54" width="0.6096" layer="94"/>
+<symbol name="MA10-1">
+<wire x1="3.81" y1="-12.7" x2="-1.27" y2="-12.7" width="0.4064" layer="94"/>
<wire x1="1.27" y1="-5.08" x2="2.54" y2="-5.08" width="0.6096" layer="94"/>
<wire x1="1.27" y1="-7.62" x2="2.54" y2="-7.62" width="0.6096" layer="94"/>
-<wire x1="1.27" y1="2.54" x2="2.54" y2="2.54" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="-10.16" x2="2.54" y2="-10.16" width="0.6096" layer="94"/>
<wire x1="1.27" y1="0" x2="2.54" y2="0" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="-2.54" x2="2.54" y2="-2.54" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="7.62" x2="2.54" y2="7.62" width="0.6096" layer="94"/>
<wire x1="1.27" y1="5.08" x2="2.54" y2="5.08" width="0.6096" layer="94"/>
-<wire x1="-1.27" y1="7.62" x2="-1.27" y2="-10.16" width="0.4064" layer="94"/>
-<wire x1="3.81" y1="-10.16" x2="3.81" y2="7.62" width="0.4064" layer="94"/>
-<wire x1="-1.27" y1="7.62" x2="3.81" y2="7.62" width="0.4064" layer="94"/>
-<text x="-1.27" y="-12.7" size="1.778" layer="96">&gt;VALUE</text>
-<text x="-1.27" y="8.382" size="1.778" layer="95">&gt;NAME</text>
-<pin name="1" x="7.62" y="-7.62" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
-<pin name="2" x="7.62" y="-5.08" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
-<pin name="3" x="7.62" y="-2.54" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
-<pin name="4" x="7.62" y="0" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
-<pin name="5" x="7.62" y="2.54" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
-<pin name="6" x="7.62" y="5.08" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<wire x1="1.27" y1="2.54" x2="2.54" y2="2.54" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="12.7" x2="2.54" y2="12.7" width="0.6096" layer="94"/>
+<wire x1="1.27" y1="10.16" x2="2.54" y2="10.16" width="0.6096" layer="94"/>
+<wire x1="-1.27" y1="15.24" x2="-1.27" y2="-12.7" width="0.4064" layer="94"/>
+<wire x1="3.81" y1="-12.7" x2="3.81" y2="15.24" width="0.4064" layer="94"/>
+<wire x1="-1.27" y1="15.24" x2="3.81" y2="15.24" width="0.4064" layer="94"/>
+<text x="-1.27" y="-15.24" size="1.778" layer="96">&gt;VALUE</text>
+<text x="-1.27" y="16.002" size="1.778" layer="95">&gt;NAME</text>
+<pin name="1" x="7.62" y="-10.16" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="2" x="7.62" y="-7.62" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="3" x="7.62" y="-5.08" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="4" x="7.62" y="-2.54" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="5" x="7.62" y="0" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="6" x="7.62" y="2.54" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="7" x="7.62" y="5.08" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="8" x="7.62" y="7.62" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="9" x="7.62" y="10.16" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
+<pin name="10" x="7.62" y="12.7" visible="pad" length="middle" direction="pas" swaplevel="1" rot="R180"/>
</symbol>
</symbols>
<devicesets>
-<deviceset name="MA06-1" prefix="SV" uservalue="yes">
+<deviceset name="MA10-1" prefix="SV" uservalue="yes">
<description>&lt;b&gt;PIN HEADER&lt;/b&gt;</description>
<gates>
-<gate name="1" symbol="MA06-1" x="0" y="0"/>
+<gate name="1" symbol="MA10-1" x="0" y="0"/>
</gates>
<devices>
-<device name="" package="MA06-1">
+<device name="" package="MA10-1">
<connects>
<connect gate="1" pin="1" pad="1"/>
+<connect gate="1" pin="10" pad="10"/>
<connect gate="1" pin="2" pad="2"/>
<connect gate="1" pin="3" pad="3"/>
<connect gate="1" pin="4" pad="4"/>
<connect gate="1" pin="5" pad="5"/>
<connect gate="1" pin="6" pad="6"/>
+<connect gate="1" pin="7" pad="7"/>
+<connect gate="1" pin="8" pad="8"/>
+<connect gate="1" pin="9" pad="9"/>
</connects>
<technologies>
<technology name="">
@@ -4707,77 +4751,84 @@ W = angled&lt;p&gt;
<part name="C5" library="resistor" deviceset="C-EU" device="C1206"/>
<part name="GND2" library="supply1" deviceset="GND" device=""/>
<part name="P+3" library="supply1" deviceset="VCC" device=""/>
-<part name="SV1" library="con-lstb" deviceset="MA06-1" device=""/>
+<part name="SV1" library="con-lstb" deviceset="MA10-1" device=""/>
<part name="P+4" library="supply1" deviceset="VCC" device=""/>
<part name="GND3" library="supply1" deviceset="GND" device=""/>
<part name="GND4" library="supply1" deviceset="GND" device=""/>
+<part name="GND5" library="supply1" deviceset="GND" device=""/>
</parts>
<sheets>
<sheet>
<plain>
</plain>
<instances>
-<instance part="IC1" gate="A" x="162.56" y="83.82"/>
-<instance part="IC2" gate="A" x="162.56" y="40.64" rot="R180"/>
-<instance part="IC3" gate="A" x="45.72" y="88.9"/>
-<instance part="IC4" gate="A" x="45.72" y="45.72"/>
-<instance part="IC5" gate="G$1" x="101.6" y="55.88"/>
-<instance part="P+1" gate="VCC" x="27.94" y="109.22"/>
-<instance part="X1" gate="-1" x="91.44" y="142.24"/>
-<instance part="X1" gate="-2" x="91.44" y="139.7"/>
-<instance part="X1" gate="-3" x="91.44" y="137.16"/>
-<instance part="X1" gate="-4" x="91.44" y="134.62"/>
-<instance part="X1" gate="-5" x="91.44" y="132.08"/>
-<instance part="X1" gate="-6" x="91.44" y="129.54"/>
-<instance part="X1" gate="-7" x="91.44" y="127"/>
-<instance part="X1" gate="-8" x="91.44" y="124.46"/>
-<instance part="X1" gate="-9" x="91.44" y="121.92"/>
-<instance part="X1" gate="-10" x="91.44" y="119.38"/>
-<instance part="X1" gate="-11" x="91.44" y="116.84"/>
-<instance part="X1" gate="-12" x="91.44" y="114.3"/>
-<instance part="X1" gate="-13" x="91.44" y="111.76"/>
-<instance part="X1" gate="-14" x="91.44" y="109.22"/>
-<instance part="X2" gate="-1" x="111.76" y="109.22" rot="R180"/>
-<instance part="X2" gate="-2" x="111.76" y="111.76" rot="R180"/>
-<instance part="X2" gate="-3" x="111.76" y="114.3" rot="R180"/>
-<instance part="X2" gate="-4" x="111.76" y="116.84" rot="R180"/>
-<instance part="X2" gate="-5" x="111.76" y="119.38" rot="R180"/>
-<instance part="X2" gate="-6" x="111.76" y="121.92" rot="R180"/>
-<instance part="X2" gate="-7" x="111.76" y="124.46" rot="R180"/>
-<instance part="X2" gate="-8" x="111.76" y="127" rot="R180"/>
-<instance part="X2" gate="-9" x="111.76" y="129.54" rot="R180"/>
-<instance part="X2" gate="-10" x="111.76" y="132.08" rot="R180"/>
-<instance part="X2" gate="-11" x="111.76" y="134.62" rot="R180"/>
-<instance part="X2" gate="-12" x="111.76" y="137.16" rot="R180"/>
-<instance part="X2" gate="-13" x="111.76" y="139.7" rot="R180"/>
-<instance part="X2" gate="-14" x="111.76" y="142.24" rot="R180"/>
-<instance part="P+2" gate="VCC" x="116.84" y="147.32"/>
-<instance part="GND1" gate="1" x="86.36" y="104.14"/>
-<instance part="C1" gate="G$1" x="22.86" y="147.32"/>
-<instance part="C2" gate="G$1" x="30.48" y="147.32"/>
-<instance part="C3" gate="G$1" x="38.1" y="147.32"/>
-<instance part="C4" gate="G$1" x="45.72" y="147.32"/>
-<instance part="C5" gate="G$1" x="53.34" y="147.32"/>
-<instance part="GND2" gate="1" x="58.42" y="134.62"/>
-<instance part="P+3" gate="VCC" x="58.42" y="157.48"/>
-<instance part="SV1" gate="1" x="-20.32" y="35.56"/>
-<instance part="P+4" gate="VCC" x="-10.16" y="45.72"/>
-<instance part="GND3" gate="1" x="-10.16" y="22.86"/>
-<instance part="GND4" gate="1" x="137.16" y="66.04"/>
+<instance part="IC1" gate="A" x="187.96" y="81.28"/>
+<instance part="IC2" gate="A" x="187.96" y="38.1" rot="R180"/>
+<instance part="IC3" gate="A" x="71.12" y="86.36"/>
+<instance part="IC4" gate="A" x="71.12" y="43.18"/>
+<instance part="IC5" gate="G$1" x="127" y="53.34"/>
+<instance part="P+1" gate="VCC" x="53.34" y="106.68"/>
+<instance part="X1" gate="-1" x="116.84" y="139.7"/>
+<instance part="X1" gate="-2" x="116.84" y="137.16"/>
+<instance part="X1" gate="-3" x="116.84" y="134.62"/>
+<instance part="X1" gate="-4" x="116.84" y="132.08"/>
+<instance part="X1" gate="-5" x="116.84" y="129.54"/>
+<instance part="X1" gate="-6" x="116.84" y="127"/>
+<instance part="X1" gate="-7" x="116.84" y="124.46"/>
+<instance part="X1" gate="-8" x="116.84" y="121.92"/>
+<instance part="X1" gate="-9" x="116.84" y="119.38"/>
+<instance part="X1" gate="-10" x="116.84" y="116.84"/>
+<instance part="X1" gate="-11" x="116.84" y="114.3"/>
+<instance part="X1" gate="-12" x="116.84" y="111.76"/>
+<instance part="X1" gate="-13" x="116.84" y="109.22"/>
+<instance part="X1" gate="-14" x="116.84" y="106.68"/>
+<instance part="X2" gate="-1" x="137.16" y="106.68" rot="R180"/>
+<instance part="X2" gate="-2" x="137.16" y="109.22" rot="R180"/>
+<instance part="X2" gate="-3" x="137.16" y="111.76" rot="R180"/>
+<instance part="X2" gate="-4" x="137.16" y="114.3" rot="R180"/>
+<instance part="X2" gate="-5" x="137.16" y="116.84" rot="R180"/>
+<instance part="X2" gate="-6" x="137.16" y="119.38" rot="R180"/>
+<instance part="X2" gate="-7" x="137.16" y="121.92" rot="R180"/>
+<instance part="X2" gate="-8" x="137.16" y="124.46" rot="R180"/>
+<instance part="X2" gate="-9" x="137.16" y="127" rot="R180"/>
+<instance part="X2" gate="-10" x="137.16" y="129.54" rot="R180"/>
+<instance part="X2" gate="-11" x="137.16" y="132.08" rot="R180"/>
+<instance part="X2" gate="-12" x="137.16" y="134.62" rot="R180"/>
+<instance part="X2" gate="-13" x="137.16" y="137.16" rot="R180"/>
+<instance part="X2" gate="-14" x="137.16" y="139.7" rot="R180"/>
+<instance part="P+2" gate="VCC" x="142.24" y="144.78"/>
+<instance part="GND1" gate="1" x="111.76" y="101.6"/>
+<instance part="C1" gate="G$1" x="48.26" y="144.78"/>
+<instance part="C2" gate="G$1" x="55.88" y="144.78"/>
+<instance part="C3" gate="G$1" x="63.5" y="144.78"/>
+<instance part="C4" gate="G$1" x="71.12" y="144.78"/>
+<instance part="C5" gate="G$1" x="78.74" y="144.78"/>
+<instance part="GND2" gate="1" x="83.82" y="132.08"/>
+<instance part="P+3" gate="VCC" x="83.82" y="154.94"/>
+<instance part="SV1" gate="1" x="5.08" y="33.02"/>
+<instance part="P+4" gate="VCC" x="15.24" y="50.8"/>
+<instance part="GND3" gate="1" x="15.24" y="17.78"/>
+<instance part="GND4" gate="1" x="162.56" y="63.5"/>
+<instance part="GND5" gate="1" x="205.74" y="17.78"/>
</instances>
<busses>
-<bus name="D[0..7],A[0..14],!OE,!WE,!CS">
+<bus name="D[0..7],A[0..14],!RAM_OE,!RAM_WE,!RAM_CS">
<segment>
-<wire x1="127" y1="27.94" x2="127" y2="99.06" width="0.762" layer="92"/>
+<wire x1="152.4" y1="25.4" x2="152.4" y2="96.52" width="0.762" layer="92"/>
</segment>
+</bus>
+<bus name="D[0..7],A[0..14],BIT_IN,BIT_OUT,BIT_CLK,BYTE_CLK,!CTRL_OE,!RAM_OE,!RAM_WE,!RAM_CS">
<segment>
-<wire x1="63.5" y1="99.06" x2="63.5" y2="81.28" width="0.762" layer="92"/>
-<wire x1="63.5" y1="81.28" x2="76.2" y2="81.28" width="0.762" layer="92"/>
-<wire x1="76.2" y1="81.28" x2="76.2" y2="30.48" width="0.762" layer="92"/>
-<wire x1="76.2" y1="30.48" x2="63.5" y2="30.48" width="0.762" layer="92"/>
-<wire x1="63.5" y1="30.48" x2="63.5" y2="55.88" width="0.762" layer="92"/>
-<wire x1="76.2" y1="142.24" x2="76.2" y2="109.22" width="0.762" layer="92"/>
-<wire x1="127" y1="142.24" x2="127" y2="109.22" width="0.762" layer="92"/>
+<wire x1="88.9" y1="96.52" x2="88.9" y2="78.74" width="0.762" layer="92"/>
+<wire x1="88.9" y1="78.74" x2="101.6" y2="78.74" width="0.762" layer="92"/>
+<wire x1="101.6" y1="78.74" x2="101.6" y2="27.94" width="0.762" layer="92"/>
+<wire x1="101.6" y1="27.94" x2="88.9" y2="27.94" width="0.762" layer="92"/>
+<wire x1="88.9" y1="27.94" x2="88.9" y2="53.34" width="0.762" layer="92"/>
+<wire x1="101.6" y1="139.7" x2="101.6" y2="106.68" width="0.762" layer="92"/>
+<wire x1="152.4" y1="139.7" x2="152.4" y2="106.68" width="0.762" layer="92"/>
+<wire x1="38.1" y1="96.52" x2="38.1" y2="10.16" width="0.762" layer="92"/>
+<wire x1="38.1" y1="10.16" x2="210.82" y2="10.16" width="0.762" layer="92"/>
+<wire x1="210.82" y1="10.16" x2="210.82" y2="99.06" width="0.762" layer="92"/>
</segment>
</bus>
</busses>
@@ -4785,671 +4836,700 @@ W = angled&lt;p&gt;
<net name="A1" class="0">
<segment>
<pinref part="IC3" gate="A" pin="QB"/>
-<wire x1="58.42" y1="96.52" x2="63.5" y2="96.52" width="0.1524" layer="91"/>
-<label x="58.42" y="96.52" size="1.778" layer="95"/>
+<wire x1="83.82" y1="93.98" x2="88.9" y2="93.98" width="0.1524" layer="91"/>
+<label x="83.82" y="93.98" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A1"/>
-<wire x1="76.2" y1="76.2" x2="88.9" y2="76.2" width="0.1524" layer="91"/>
-<label x="88.9" y="76.2" size="1.778" layer="95" rot="MR0"/>
+<wire x1="101.6" y1="73.66" x2="114.3" y2="73.66" width="0.1524" layer="91"/>
+<label x="114.3" y="73.66" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-9" pin="S"/>
-<wire x1="88.9" y1="121.92" x2="76.2" y2="121.92" width="0.1524" layer="91"/>
-<label x="88.9" y="121.92" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="119.38" x2="101.6" y2="119.38" width="0.1524" layer="91"/>
+<label x="114.3" y="119.38" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="A0" class="0">
<segment>
<pinref part="IC3" gate="A" pin="QA"/>
-<wire x1="58.42" y1="99.06" x2="63.5" y2="99.06" width="0.1524" layer="91"/>
-<label x="58.42" y="99.06" size="1.778" layer="95"/>
+<wire x1="83.82" y1="96.52" x2="88.9" y2="96.52" width="0.1524" layer="91"/>
+<label x="83.82" y="96.52" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A0"/>
-<wire x1="76.2" y1="78.74" x2="88.9" y2="78.74" width="0.1524" layer="91"/>
-<label x="88.9" y="78.74" size="1.778" layer="95" rot="MR0"/>
+<wire x1="101.6" y1="76.2" x2="114.3" y2="76.2" width="0.1524" layer="91"/>
+<label x="114.3" y="76.2" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-10" pin="S"/>
-<wire x1="88.9" y1="119.38" x2="76.2" y2="119.38" width="0.1524" layer="91"/>
-<label x="88.9" y="119.38" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="116.84" x2="101.6" y2="116.84" width="0.1524" layer="91"/>
+<label x="114.3" y="116.84" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="A3" class="0">
<segment>
<pinref part="IC3" gate="A" pin="QD"/>
-<wire x1="63.5" y1="91.44" x2="58.42" y2="91.44" width="0.1524" layer="91"/>
-<label x="58.42" y="91.44" size="1.778" layer="95"/>
+<wire x1="88.9" y1="88.9" x2="83.82" y2="88.9" width="0.1524" layer="91"/>
+<label x="83.82" y="88.9" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A3"/>
-<wire x1="76.2" y1="71.12" x2="88.9" y2="71.12" width="0.1524" layer="91"/>
-<label x="88.9" y="71.12" size="1.778" layer="95" rot="MR0"/>
+<wire x1="101.6" y1="68.58" x2="114.3" y2="68.58" width="0.1524" layer="91"/>
+<label x="114.3" y="68.58" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-7" pin="S"/>
-<wire x1="88.9" y1="127" x2="76.2" y2="127" width="0.1524" layer="91"/>
-<label x="88.9" y="127" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="124.46" x2="101.6" y2="124.46" width="0.1524" layer="91"/>
+<label x="114.3" y="124.46" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="A2" class="0">
<segment>
<pinref part="IC3" gate="A" pin="QC"/>
-<wire x1="63.5" y1="93.98" x2="58.42" y2="93.98" width="0.1524" layer="91"/>
-<label x="58.42" y="93.98" size="1.778" layer="95"/>
+<wire x1="88.9" y1="91.44" x2="83.82" y2="91.44" width="0.1524" layer="91"/>
+<label x="83.82" y="91.44" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A2"/>
-<wire x1="76.2" y1="73.66" x2="88.9" y2="73.66" width="0.1524" layer="91"/>
-<label x="88.9" y="73.66" size="1.778" layer="95" rot="MR0"/>
+<wire x1="101.6" y1="71.12" x2="114.3" y2="71.12" width="0.1524" layer="91"/>
+<label x="114.3" y="71.12" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-8" pin="S"/>
-<wire x1="88.9" y1="124.46" x2="76.2" y2="124.46" width="0.1524" layer="91"/>
-<label x="88.9" y="124.46" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="121.92" x2="101.6" y2="121.92" width="0.1524" layer="91"/>
+<label x="114.3" y="121.92" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="A4" class="0">
<segment>
<pinref part="IC3" gate="A" pin="QE"/>
-<wire x1="63.5" y1="88.9" x2="58.42" y2="88.9" width="0.1524" layer="91"/>
-<label x="58.42" y="88.9" size="1.778" layer="95"/>
+<wire x1="88.9" y1="86.36" x2="83.82" y2="86.36" width="0.1524" layer="91"/>
+<label x="83.82" y="86.36" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A4"/>
-<wire x1="88.9" y1="68.58" x2="76.2" y2="68.58" width="0.1524" layer="91"/>
-<label x="88.9" y="68.58" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="66.04" x2="101.6" y2="66.04" width="0.1524" layer="91"/>
+<label x="114.3" y="66.04" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-6" pin="S"/>
-<wire x1="88.9" y1="129.54" x2="76.2" y2="129.54" width="0.1524" layer="91"/>
-<label x="88.9" y="129.54" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="127" x2="101.6" y2="127" width="0.1524" layer="91"/>
+<label x="114.3" y="127" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="A5" class="0">
<segment>
<pinref part="IC3" gate="A" pin="QF"/>
-<wire x1="63.5" y1="86.36" x2="58.42" y2="86.36" width="0.1524" layer="91"/>
-<label x="58.42" y="86.36" size="1.778" layer="95"/>
+<wire x1="88.9" y1="83.82" x2="83.82" y2="83.82" width="0.1524" layer="91"/>
+<label x="83.82" y="83.82" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A5"/>
-<wire x1="88.9" y1="66.04" x2="76.2" y2="66.04" width="0.1524" layer="91"/>
-<label x="88.9" y="66.04" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="63.5" x2="101.6" y2="63.5" width="0.1524" layer="91"/>
+<label x="114.3" y="63.5" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-5" pin="S"/>
-<wire x1="88.9" y1="132.08" x2="76.2" y2="132.08" width="0.1524" layer="91"/>
-<label x="88.9" y="132.08" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="129.54" x2="101.6" y2="129.54" width="0.1524" layer="91"/>
+<label x="114.3" y="129.54" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="A6" class="0">
<segment>
<pinref part="IC3" gate="A" pin="QG"/>
-<wire x1="63.5" y1="83.82" x2="58.42" y2="83.82" width="0.1524" layer="91"/>
-<label x="58.42" y="83.82" size="1.778" layer="95"/>
+<wire x1="88.9" y1="81.28" x2="83.82" y2="81.28" width="0.1524" layer="91"/>
+<label x="83.82" y="81.28" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A6"/>
-<wire x1="88.9" y1="63.5" x2="76.2" y2="63.5" width="0.1524" layer="91"/>
-<label x="88.9" y="63.5" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="60.96" x2="101.6" y2="60.96" width="0.1524" layer="91"/>
+<label x="114.3" y="60.96" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-4" pin="S"/>
-<wire x1="88.9" y1="134.62" x2="76.2" y2="134.62" width="0.1524" layer="91"/>
-<label x="88.9" y="134.62" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="132.08" x2="101.6" y2="132.08" width="0.1524" layer="91"/>
+<label x="114.3" y="132.08" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="A7" class="0">
<segment>
<pinref part="IC3" gate="A" pin="QH"/>
-<wire x1="63.5" y1="81.28" x2="58.42" y2="81.28" width="0.1524" layer="91"/>
-<label x="58.42" y="81.28" size="1.778" layer="95"/>
+<wire x1="88.9" y1="78.74" x2="83.82" y2="78.74" width="0.1524" layer="91"/>
+<label x="83.82" y="78.74" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A7"/>
-<wire x1="88.9" y1="60.96" x2="76.2" y2="60.96" width="0.1524" layer="91"/>
-<label x="88.9" y="60.96" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="58.42" x2="101.6" y2="58.42" width="0.1524" layer="91"/>
+<label x="114.3" y="58.42" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-3" pin="S"/>
-<wire x1="88.9" y1="137.16" x2="76.2" y2="137.16" width="0.1524" layer="91"/>
-<label x="88.9" y="137.16" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="134.62" x2="101.6" y2="134.62" width="0.1524" layer="91"/>
+<label x="114.3" y="134.62" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="A8" class="0">
<segment>
<pinref part="IC4" gate="A" pin="QA"/>
-<wire x1="58.42" y1="55.88" x2="63.5" y2="55.88" width="0.1524" layer="91"/>
-<label x="58.42" y="55.88" size="1.778" layer="95"/>
+<wire x1="83.82" y1="53.34" x2="88.9" y2="53.34" width="0.1524" layer="91"/>
+<label x="83.82" y="53.34" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A8"/>
-<wire x1="88.9" y1="58.42" x2="76.2" y2="58.42" width="0.1524" layer="91"/>
-<label x="88.9" y="58.42" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="55.88" x2="101.6" y2="55.88" width="0.1524" layer="91"/>
+<label x="114.3" y="55.88" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X2" gate="-11" pin="S"/>
-<wire x1="114.3" y1="134.62" x2="127" y2="134.62" width="0.1524" layer="91"/>
-<label x="114.3" y="134.62" size="1.778" layer="95"/>
+<wire x1="139.7" y1="132.08" x2="152.4" y2="132.08" width="0.1524" layer="91"/>
+<label x="139.7" y="132.08" size="1.778" layer="95"/>
</segment>
</net>
<net name="A9" class="0">
<segment>
<pinref part="IC4" gate="A" pin="QB"/>
-<wire x1="58.42" y1="53.34" x2="63.5" y2="53.34" width="0.1524" layer="91"/>
-<label x="58.42" y="53.34" size="1.778" layer="95"/>
+<wire x1="83.82" y1="50.8" x2="88.9" y2="50.8" width="0.1524" layer="91"/>
+<label x="83.82" y="50.8" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A9"/>
-<wire x1="88.9" y1="55.88" x2="76.2" y2="55.88" width="0.1524" layer="91"/>
-<label x="88.9" y="55.88" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="53.34" x2="101.6" y2="53.34" width="0.1524" layer="91"/>
+<label x="114.3" y="53.34" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X2" gate="-10" pin="S"/>
-<wire x1="114.3" y1="132.08" x2="127" y2="132.08" width="0.1524" layer="91"/>
-<label x="114.3" y="132.08" size="1.778" layer="95"/>
+<wire x1="139.7" y1="129.54" x2="152.4" y2="129.54" width="0.1524" layer="91"/>
+<label x="139.7" y="129.54" size="1.778" layer="95"/>
</segment>
</net>
<net name="A10" class="0">
<segment>
<pinref part="IC4" gate="A" pin="QC"/>
-<wire x1="58.42" y1="50.8" x2="63.5" y2="50.8" width="0.1524" layer="91"/>
-<label x="58.42" y="50.8" size="1.778" layer="95"/>
+<wire x1="83.82" y1="48.26" x2="88.9" y2="48.26" width="0.1524" layer="91"/>
+<label x="83.82" y="48.26" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A10"/>
-<wire x1="88.9" y1="53.34" x2="76.2" y2="53.34" width="0.1524" layer="91"/>
-<label x="88.9" y="53.34" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="50.8" x2="101.6" y2="50.8" width="0.1524" layer="91"/>
+<label x="114.3" y="50.8" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X2" gate="-7" pin="S"/>
-<wire x1="114.3" y1="124.46" x2="127" y2="124.46" width="0.1524" layer="91"/>
-<label x="114.3" y="124.46" size="1.778" layer="95"/>
+<wire x1="139.7" y1="121.92" x2="152.4" y2="121.92" width="0.1524" layer="91"/>
+<label x="139.7" y="121.92" size="1.778" layer="95"/>
</segment>
</net>
<net name="A11" class="0">
<segment>
<pinref part="IC4" gate="A" pin="QD"/>
-<wire x1="58.42" y1="48.26" x2="63.5" y2="48.26" width="0.1524" layer="91"/>
-<label x="58.42" y="48.26" size="1.778" layer="95"/>
+<wire x1="83.82" y1="45.72" x2="88.9" y2="45.72" width="0.1524" layer="91"/>
+<label x="83.82" y="45.72" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A11"/>
-<wire x1="88.9" y1="50.8" x2="76.2" y2="50.8" width="0.1524" layer="91"/>
-<label x="88.9" y="50.8" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="48.26" x2="101.6" y2="48.26" width="0.1524" layer="91"/>
+<label x="114.3" y="48.26" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X2" gate="-9" pin="S"/>
-<wire x1="114.3" y1="129.54" x2="127" y2="129.54" width="0.1524" layer="91"/>
-<label x="114.3" y="129.54" size="1.778" layer="95"/>
+<wire x1="139.7" y1="127" x2="152.4" y2="127" width="0.1524" layer="91"/>
+<label x="139.7" y="127" size="1.778" layer="95"/>
</segment>
</net>
<net name="A12" class="0">
<segment>
<pinref part="IC4" gate="A" pin="QE"/>
-<wire x1="58.42" y1="45.72" x2="63.5" y2="45.72" width="0.1524" layer="91"/>
-<label x="58.42" y="45.72" size="1.778" layer="95"/>
+<wire x1="83.82" y1="43.18" x2="88.9" y2="43.18" width="0.1524" layer="91"/>
+<label x="83.82" y="43.18" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A12"/>
-<wire x1="88.9" y1="48.26" x2="76.2" y2="48.26" width="0.1524" layer="91"/>
-<label x="88.9" y="48.26" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="45.72" x2="101.6" y2="45.72" width="0.1524" layer="91"/>
+<label x="114.3" y="45.72" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-2" pin="S"/>
-<wire x1="76.2" y1="139.7" x2="88.9" y2="139.7" width="0.1524" layer="91"/>
-<label x="88.9" y="139.7" size="1.778" layer="95" rot="MR0"/>
+<wire x1="101.6" y1="137.16" x2="114.3" y2="137.16" width="0.1524" layer="91"/>
+<label x="114.3" y="137.16" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="A13" class="0">
<segment>
<pinref part="IC4" gate="A" pin="QF"/>
-<wire x1="58.42" y1="43.18" x2="63.5" y2="43.18" width="0.1524" layer="91"/>
-<label x="58.42" y="43.18" size="1.778" layer="95"/>
+<wire x1="83.82" y1="40.64" x2="88.9" y2="40.64" width="0.1524" layer="91"/>
+<label x="83.82" y="40.64" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A13"/>
-<wire x1="76.2" y1="45.72" x2="88.9" y2="45.72" width="0.1524" layer="91"/>
-<label x="88.9" y="45.72" size="1.778" layer="95" rot="MR0"/>
+<wire x1="101.6" y1="43.18" x2="114.3" y2="43.18" width="0.1524" layer="91"/>
+<label x="114.3" y="43.18" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X2" gate="-12" pin="S"/>
-<wire x1="114.3" y1="137.16" x2="127" y2="137.16" width="0.1524" layer="91"/>
-<label x="114.3" y="137.16" size="1.778" layer="95"/>
+<wire x1="139.7" y1="134.62" x2="152.4" y2="134.62" width="0.1524" layer="91"/>
+<label x="139.7" y="134.62" size="1.778" layer="95"/>
</segment>
</net>
<net name="A14" class="0">
<segment>
<pinref part="IC4" gate="A" pin="QG"/>
-<wire x1="58.42" y1="40.64" x2="63.5" y2="40.64" width="0.1524" layer="91"/>
-<label x="58.42" y="40.64" size="1.778" layer="95"/>
+<wire x1="83.82" y1="38.1" x2="88.9" y2="38.1" width="0.1524" layer="91"/>
+<label x="83.82" y="38.1" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="A14"/>
-<wire x1="88.9" y1="43.18" x2="76.2" y2="43.18" width="0.1524" layer="91"/>
-<label x="88.9" y="43.18" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="40.64" x2="101.6" y2="40.64" width="0.1524" layer="91"/>
+<label x="114.3" y="40.64" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X1" gate="-1" pin="S"/>
-<wire x1="88.9" y1="142.24" x2="76.2" y2="142.24" width="0.1524" layer="91"/>
-<label x="88.9" y="142.24" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="139.7" x2="101.6" y2="139.7" width="0.1524" layer="91"/>
+<label x="114.3" y="139.7" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="N$1" class="0">
<segment>
<pinref part="IC3" gate="A" pin="QH*"/>
-<wire x1="58.42" y1="76.2" x2="58.42" y2="66.04" width="0.1524" layer="91"/>
-<wire x1="58.42" y1="66.04" x2="30.48" y2="66.04" width="0.1524" layer="91"/>
-<wire x1="30.48" y1="66.04" x2="30.48" y2="55.88" width="0.1524" layer="91"/>
+<wire x1="83.82" y1="73.66" x2="83.82" y2="63.5" width="0.1524" layer="91"/>
+<wire x1="83.82" y1="63.5" x2="55.88" y2="63.5" width="0.1524" layer="91"/>
+<wire x1="55.88" y1="63.5" x2="55.88" y2="53.34" width="0.1524" layer="91"/>
<pinref part="IC4" gate="A" pin="SER"/>
-<wire x1="30.48" y1="55.88" x2="33.02" y2="55.88" width="0.1524" layer="91"/>
-</segment>
-</net>
-<net name="A_EN" class="0">
-<segment>
-<pinref part="IC3" gate="A" pin="G"/>
-<wire x1="33.02" y1="76.2" x2="22.86" y2="76.2" width="0.1524" layer="91"/>
-<wire x1="22.86" y1="76.2" x2="22.86" y2="33.02" width="0.1524" layer="91"/>
-<pinref part="IC4" gate="A" pin="G"/>
-<wire x1="22.86" y1="33.02" x2="22.86" y2="30.48" width="0.1524" layer="91"/>
-<wire x1="33.02" y1="33.02" x2="22.86" y2="33.02" width="0.1524" layer="91"/>
-<label x="-10.16" y="30.48" size="1.778" layer="95"/>
-<junction x="22.86" y="33.02"/>
-<pinref part="SV1" gate="1" pin="2"/>
-<wire x1="-12.7" y1="30.48" x2="22.86" y2="30.48" width="0.1524" layer="91"/>
+<wire x1="55.88" y1="53.34" x2="58.42" y2="53.34" width="0.1524" layer="91"/>
</segment>
</net>
<net name="D0" class="0">
<segment>
<pinref part="IC1" gate="A" pin="A"/>
-<wire x1="127" y1="96.52" x2="149.86" y2="96.52" width="0.1524" layer="91"/>
-<label x="137.16" y="96.52" size="1.778" layer="95"/>
+<wire x1="152.4" y1="93.98" x2="175.26" y2="93.98" width="0.1524" layer="91"/>
+<label x="162.56" y="93.98" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC2" gate="A" pin="QA"/>
-<wire x1="127" y1="30.48" x2="149.86" y2="30.48" width="0.1524" layer="91"/>
-<label x="137.16" y="30.48" size="1.778" layer="95"/>
+<wire x1="152.4" y1="27.94" x2="175.26" y2="27.94" width="0.1524" layer="91"/>
+<label x="162.56" y="27.94" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="I/O1"/>
-<label x="116.84" y="78.74" size="1.778" layer="95"/>
-<wire x1="114.3" y1="78.74" x2="127" y2="78.74" width="0.1524" layer="91"/>
+<label x="142.24" y="76.2" size="1.778" layer="95"/>
+<wire x1="139.7" y1="76.2" x2="152.4" y2="76.2" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="X1" gate="-11" pin="S"/>
-<wire x1="88.9" y1="116.84" x2="76.2" y2="116.84" width="0.1524" layer="91"/>
-<label x="88.9" y="116.84" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="114.3" x2="101.6" y2="114.3" width="0.1524" layer="91"/>
+<label x="114.3" y="114.3" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="D1" class="0">
<segment>
<pinref part="IC1" gate="A" pin="B"/>
-<wire x1="127" y1="93.98" x2="149.86" y2="93.98" width="0.1524" layer="91"/>
-<label x="137.16" y="93.98" size="1.778" layer="95"/>
+<wire x1="152.4" y1="91.44" x2="175.26" y2="91.44" width="0.1524" layer="91"/>
+<label x="162.56" y="91.44" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC2" gate="A" pin="QB"/>
-<wire x1="127" y1="33.02" x2="149.86" y2="33.02" width="0.1524" layer="91"/>
-<label x="137.16" y="33.02" size="1.778" layer="95"/>
+<wire x1="152.4" y1="30.48" x2="175.26" y2="30.48" width="0.1524" layer="91"/>
+<label x="162.56" y="30.48" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="I/O2"/>
-<label x="116.84" y="76.2" size="1.778" layer="95"/>
-<wire x1="114.3" y1="76.2" x2="127" y2="76.2" width="0.1524" layer="91"/>
+<label x="142.24" y="73.66" size="1.778" layer="95"/>
+<wire x1="139.7" y1="73.66" x2="152.4" y2="73.66" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="X1" gate="-12" pin="S"/>
-<wire x1="88.9" y1="114.3" x2="76.2" y2="114.3" width="0.1524" layer="91"/>
-<label x="88.9" y="114.3" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="111.76" x2="101.6" y2="111.76" width="0.1524" layer="91"/>
+<label x="114.3" y="111.76" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="D2" class="0">
<segment>
<pinref part="IC1" gate="A" pin="C"/>
-<wire x1="127" y1="91.44" x2="149.86" y2="91.44" width="0.1524" layer="91"/>
-<label x="137.16" y="91.44" size="1.778" layer="95"/>
+<wire x1="152.4" y1="88.9" x2="175.26" y2="88.9" width="0.1524" layer="91"/>
+<label x="162.56" y="88.9" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC2" gate="A" pin="QC"/>
-<wire x1="127" y1="35.56" x2="149.86" y2="35.56" width="0.1524" layer="91"/>
-<label x="137.16" y="35.56" size="1.778" layer="95"/>
+<wire x1="152.4" y1="33.02" x2="175.26" y2="33.02" width="0.1524" layer="91"/>
+<label x="162.56" y="33.02" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="I/O3"/>
-<label x="116.84" y="73.66" size="1.778" layer="95"/>
-<wire x1="114.3" y1="73.66" x2="127" y2="73.66" width="0.1524" layer="91"/>
+<label x="142.24" y="71.12" size="1.778" layer="95"/>
+<wire x1="139.7" y1="71.12" x2="152.4" y2="71.12" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="X1" gate="-13" pin="S"/>
-<wire x1="88.9" y1="111.76" x2="76.2" y2="111.76" width="0.1524" layer="91"/>
-<label x="88.9" y="111.76" size="1.778" layer="95" rot="MR0"/>
+<wire x1="114.3" y1="109.22" x2="101.6" y2="109.22" width="0.1524" layer="91"/>
+<label x="114.3" y="109.22" size="1.778" layer="95" rot="MR0"/>
</segment>
</net>
<net name="D3" class="0">
<segment>
<pinref part="IC1" gate="A" pin="D"/>
-<wire x1="127" y1="88.9" x2="149.86" y2="88.9" width="0.1524" layer="91"/>
-<label x="137.16" y="88.9" size="1.778" layer="95"/>
+<wire x1="152.4" y1="86.36" x2="175.26" y2="86.36" width="0.1524" layer="91"/>
+<label x="162.56" y="86.36" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC2" gate="A" pin="QD"/>
-<wire x1="127" y1="38.1" x2="149.86" y2="38.1" width="0.1524" layer="91"/>
-<label x="137.16" y="38.1" size="1.778" layer="95"/>
+<wire x1="152.4" y1="35.56" x2="175.26" y2="35.56" width="0.1524" layer="91"/>
+<label x="162.56" y="35.56" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="I/O4"/>
-<label x="116.84" y="71.12" size="1.778" layer="95"/>
-<wire x1="114.3" y1="71.12" x2="127" y2="71.12" width="0.1524" layer="91"/>
+<label x="142.24" y="68.58" size="1.778" layer="95"/>
+<wire x1="139.7" y1="68.58" x2="152.4" y2="68.58" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="X2" gate="-1" pin="S"/>
-<wire x1="114.3" y1="109.22" x2="127" y2="109.22" width="0.1524" layer="91"/>
-<label x="114.3" y="109.22" size="1.778" layer="95"/>
+<wire x1="139.7" y1="106.68" x2="152.4" y2="106.68" width="0.1524" layer="91"/>
+<label x="139.7" y="106.68" size="1.778" layer="95"/>
</segment>
</net>
<net name="D4" class="0">
<segment>
<pinref part="IC1" gate="A" pin="E"/>
-<wire x1="127" y1="86.36" x2="149.86" y2="86.36" width="0.1524" layer="91"/>
-<label x="137.16" y="86.36" size="1.778" layer="95"/>
+<wire x1="152.4" y1="83.82" x2="175.26" y2="83.82" width="0.1524" layer="91"/>
+<label x="162.56" y="83.82" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC2" gate="A" pin="QE"/>
-<wire x1="127" y1="40.64" x2="149.86" y2="40.64" width="0.1524" layer="91"/>
-<label x="137.16" y="40.64" size="1.778" layer="95"/>
+<wire x1="152.4" y1="38.1" x2="175.26" y2="38.1" width="0.1524" layer="91"/>
+<label x="162.56" y="38.1" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="I/O5"/>
-<label x="116.84" y="68.58" size="1.778" layer="95"/>
-<wire x1="114.3" y1="68.58" x2="127" y2="68.58" width="0.1524" layer="91"/>
+<label x="142.24" y="66.04" size="1.778" layer="95"/>
+<wire x1="139.7" y1="66.04" x2="152.4" y2="66.04" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="X2" gate="-2" pin="S"/>
-<wire x1="114.3" y1="111.76" x2="127" y2="111.76" width="0.1524" layer="91"/>
-<label x="114.3" y="111.76" size="1.778" layer="95"/>
+<wire x1="139.7" y1="109.22" x2="152.4" y2="109.22" width="0.1524" layer="91"/>
+<label x="139.7" y="109.22" size="1.778" layer="95"/>
</segment>
</net>
<net name="D5" class="0">
<segment>
<pinref part="IC1" gate="A" pin="F"/>
-<wire x1="127" y1="83.82" x2="149.86" y2="83.82" width="0.1524" layer="91"/>
-<label x="137.16" y="83.82" size="1.778" layer="95"/>
+<wire x1="152.4" y1="81.28" x2="175.26" y2="81.28" width="0.1524" layer="91"/>
+<label x="162.56" y="81.28" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC2" gate="A" pin="QF"/>
-<wire x1="127" y1="43.18" x2="149.86" y2="43.18" width="0.1524" layer="91"/>
-<label x="137.16" y="43.18" size="1.778" layer="95"/>
+<wire x1="152.4" y1="40.64" x2="175.26" y2="40.64" width="0.1524" layer="91"/>
+<label x="162.56" y="40.64" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="I/O6"/>
-<label x="116.84" y="66.04" size="1.778" layer="95"/>
-<wire x1="114.3" y1="66.04" x2="127" y2="66.04" width="0.1524" layer="91"/>
+<label x="142.24" y="63.5" size="1.778" layer="95"/>
+<wire x1="139.7" y1="63.5" x2="152.4" y2="63.5" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="X2" gate="-3" pin="S"/>
-<wire x1="114.3" y1="114.3" x2="127" y2="114.3" width="0.1524" layer="91"/>
-<label x="114.3" y="114.3" size="1.778" layer="95"/>
+<wire x1="139.7" y1="111.76" x2="152.4" y2="111.76" width="0.1524" layer="91"/>
+<label x="139.7" y="111.76" size="1.778" layer="95"/>
</segment>
</net>
<net name="D6" class="0">
<segment>
<pinref part="IC1" gate="A" pin="G"/>
-<wire x1="127" y1="81.28" x2="149.86" y2="81.28" width="0.1524" layer="91"/>
-<label x="137.16" y="81.28" size="1.778" layer="95"/>
+<wire x1="152.4" y1="78.74" x2="175.26" y2="78.74" width="0.1524" layer="91"/>
+<label x="162.56" y="78.74" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC2" gate="A" pin="QG"/>
-<wire x1="127" y1="45.72" x2="149.86" y2="45.72" width="0.1524" layer="91"/>
-<label x="137.16" y="45.72" size="1.778" layer="95"/>
+<wire x1="152.4" y1="43.18" x2="175.26" y2="43.18" width="0.1524" layer="91"/>
+<label x="162.56" y="43.18" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="I/O7"/>
-<label x="116.84" y="63.5" size="1.778" layer="95"/>
-<wire x1="114.3" y1="63.5" x2="127" y2="63.5" width="0.1524" layer="91"/>
+<label x="142.24" y="60.96" size="1.778" layer="95"/>
+<wire x1="139.7" y1="60.96" x2="152.4" y2="60.96" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="X2" gate="-4" pin="S"/>
-<wire x1="114.3" y1="116.84" x2="127" y2="116.84" width="0.1524" layer="91"/>
-<label x="114.3" y="116.84" size="1.778" layer="95"/>
+<wire x1="139.7" y1="114.3" x2="152.4" y2="114.3" width="0.1524" layer="91"/>
+<label x="139.7" y="114.3" size="1.778" layer="95"/>
</segment>
</net>
<net name="D7" class="0">
<segment>
<pinref part="IC1" gate="A" pin="H"/>
-<wire x1="127" y1="78.74" x2="149.86" y2="78.74" width="0.1524" layer="91"/>
-<label x="137.16" y="78.74" size="1.778" layer="95"/>
+<wire x1="152.4" y1="76.2" x2="175.26" y2="76.2" width="0.1524" layer="91"/>
+<label x="162.56" y="76.2" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC2" gate="A" pin="QH"/>
-<wire x1="127" y1="48.26" x2="149.86" y2="48.26" width="0.1524" layer="91"/>
-<label x="137.16" y="48.26" size="1.778" layer="95"/>
+<wire x1="152.4" y1="45.72" x2="175.26" y2="45.72" width="0.1524" layer="91"/>
+<label x="162.56" y="45.72" size="1.778" layer="95"/>
</segment>
<segment>
<pinref part="IC5" gate="G$1" pin="I/O8"/>
-<label x="116.84" y="60.96" size="1.778" layer="95"/>
-<wire x1="114.3" y1="60.96" x2="127" y2="60.96" width="0.1524" layer="91"/>
+<label x="142.24" y="58.42" size="1.778" layer="95"/>
+<wire x1="139.7" y1="58.42" x2="152.4" y2="58.42" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="X2" gate="-5" pin="S"/>
-<wire x1="114.3" y1="119.38" x2="127" y2="119.38" width="0.1524" layer="91"/>
-<label x="114.3" y="119.38" size="1.778" layer="95"/>
+<wire x1="139.7" y1="116.84" x2="152.4" y2="116.84" width="0.1524" layer="91"/>
+<label x="139.7" y="116.84" size="1.778" layer="95"/>
</segment>
</net>
<net name="N$2" class="0">
<segment>
<pinref part="IC4" gate="A" pin="QH*"/>
-<wire x1="58.42" y1="33.02" x2="60.96" y2="33.02" width="0.1524" layer="91"/>
-<wire x1="60.96" y1="33.02" x2="60.96" y2="15.24" width="0.1524" layer="91"/>
-<wire x1="60.96" y1="15.24" x2="180.34" y2="15.24" width="0.1524" layer="91"/>
-<wire x1="180.34" y1="15.24" x2="180.34" y2="30.48" width="0.1524" layer="91"/>
+<wire x1="83.82" y1="30.48" x2="86.36" y2="30.48" width="0.1524" layer="91"/>
+<wire x1="86.36" y1="30.48" x2="86.36" y2="12.7" width="0.1524" layer="91"/>
+<wire x1="86.36" y1="12.7" x2="203.2" y2="12.7" width="0.1524" layer="91"/>
+<wire x1="203.2" y1="12.7" x2="203.2" y2="27.94" width="0.1524" layer="91"/>
<pinref part="IC2" gate="A" pin="SER"/>
-<wire x1="180.34" y1="30.48" x2="175.26" y2="30.48" width="0.1524" layer="91"/>
+<wire x1="203.2" y1="27.94" x2="200.66" y2="27.94" width="0.1524" layer="91"/>
</segment>
</net>
<net name="N$3" class="0">
<segment>
<pinref part="IC2" gate="A" pin="QH*"/>
-<wire x1="149.86" y1="53.34" x2="142.24" y2="53.34" width="0.1524" layer="91"/>
-<wire x1="142.24" y1="53.34" x2="142.24" y2="99.06" width="0.1524" layer="91"/>
+<wire x1="175.26" y1="50.8" x2="167.64" y2="50.8" width="0.1524" layer="91"/>
+<wire x1="167.64" y1="50.8" x2="167.64" y2="96.52" width="0.1524" layer="91"/>
<pinref part="IC1" gate="A" pin="SER"/>
-<wire x1="142.24" y1="99.06" x2="149.86" y2="99.06" width="0.1524" layer="91"/>
-</segment>
-</net>
-<net name="D_IN" class="0">
-<segment>
-<pinref part="IC3" gate="A" pin="SER"/>
-<wire x1="33.02" y1="99.06" x2="20.32" y2="99.06" width="0.1524" layer="91"/>
-<wire x1="20.32" y1="99.06" x2="20.32" y2="33.02" width="0.1524" layer="91"/>
-<label x="-10.16" y="33.02" size="1.778" layer="95"/>
-<pinref part="SV1" gate="1" pin="3"/>
-<wire x1="20.32" y1="33.02" x2="-12.7" y2="33.02" width="0.1524" layer="91"/>
-</segment>
-</net>
-<net name="D_CLK" class="0">
-<segment>
-<pinref part="IC3" gate="A" pin="SCK"/>
-<wire x1="33.02" y1="93.98" x2="17.78" y2="93.98" width="0.1524" layer="91"/>
-<wire x1="17.78" y1="93.98" x2="17.78" y2="50.8" width="0.1524" layer="91"/>
-<pinref part="IC4" gate="A" pin="SCK"/>
-<wire x1="17.78" y1="50.8" x2="33.02" y2="50.8" width="0.1524" layer="91"/>
-<wire x1="17.78" y1="50.8" x2="17.78" y2="35.56" width="0.1524" layer="91"/>
-<label x="-10.16" y="35.56" size="1.778" layer="95"/>
-<wire x1="17.78" y1="35.56" x2="17.78" y2="7.62" width="0.1524" layer="91"/>
-<wire x1="17.78" y1="7.62" x2="187.96" y2="7.62" width="0.1524" layer="91"/>
-<wire x1="187.96" y1="7.62" x2="187.96" y2="35.56" width="0.1524" layer="91"/>
-<pinref part="IC2" gate="A" pin="SCK"/>
-<wire x1="175.26" y1="35.56" x2="187.96" y2="35.56" width="0.1524" layer="91"/>
-<wire x1="187.96" y1="35.56" x2="187.96" y2="60.96" width="0.1524" layer="91"/>
-<wire x1="187.96" y1="60.96" x2="144.78" y2="60.96" width="0.1524" layer="91"/>
-<pinref part="IC1" gate="A" pin="CLK"/>
-<wire x1="149.86" y1="73.66" x2="144.78" y2="73.66" width="0.1524" layer="91"/>
-<wire x1="144.78" y1="73.66" x2="144.78" y2="60.96" width="0.1524" layer="91"/>
-<junction x="187.96" y="35.56"/>
-<pinref part="SV1" gate="1" pin="4"/>
-<wire x1="-12.7" y1="35.56" x2="17.78" y2="35.56" width="0.1524" layer="91"/>
-<junction x="17.78" y="35.56"/>
-</segment>
-</net>
-<net name="N$5" class="0">
-<segment>
-<pinref part="IC2" gate="A" pin="RCK"/>
-<wire x1="175.26" y1="43.18" x2="185.42" y2="43.18" width="0.1524" layer="91"/>
-<wire x1="185.42" y1="43.18" x2="185.42" y2="10.16" width="0.1524" layer="91"/>
-<wire x1="185.42" y1="10.16" x2="25.4" y2="10.16" width="0.1524" layer="91"/>
-<wire x1="25.4" y1="10.16" x2="25.4" y2="43.18" width="0.1524" layer="91"/>
-<pinref part="IC4" gate="A" pin="RCK"/>
-<wire x1="33.02" y1="43.18" x2="25.4" y2="43.18" width="0.1524" layer="91"/>
-<pinref part="IC3" gate="A" pin="RCK"/>
-<wire x1="33.02" y1="86.36" x2="25.4" y2="86.36" width="0.1524" layer="91"/>
-<wire x1="25.4" y1="86.36" x2="25.4" y2="43.18" width="0.1524" layer="91"/>
-<pinref part="IC1" gate="A" pin="SH/!LD"/>
-<wire x1="149.86" y1="68.58" x2="147.32" y2="68.58" width="0.1524" layer="91"/>
-<wire x1="147.32" y1="68.58" x2="147.32" y2="63.5" width="0.1524" layer="91"/>
-<wire x1="147.32" y1="63.5" x2="185.42" y2="63.5" width="0.1524" layer="91"/>
-<wire x1="185.42" y1="63.5" x2="185.42" y2="43.18" width="0.1524" layer="91"/>
-<junction x="25.4" y="43.18"/>
-<junction x="185.42" y="43.18"/>
+<wire x1="167.64" y1="96.52" x2="175.26" y2="96.52" width="0.1524" layer="91"/>
</segment>
</net>
<net name="VCC" class="0">
<segment>
<pinref part="IC4" gate="A" pin="SCL"/>
-<wire x1="33.02" y1="48.26" x2="27.94" y2="48.26" width="0.1524" layer="91"/>
-<wire x1="27.94" y1="48.26" x2="27.94" y2="91.44" width="0.1524" layer="91"/>
+<wire x1="58.42" y1="45.72" x2="53.34" y2="45.72" width="0.1524" layer="91"/>
+<wire x1="53.34" y1="45.72" x2="53.34" y2="88.9" width="0.1524" layer="91"/>
<pinref part="IC3" gate="A" pin="SCL"/>
-<wire x1="27.94" y1="91.44" x2="27.94" y2="106.68" width="0.1524" layer="91"/>
-<wire x1="33.02" y1="91.44" x2="27.94" y2="91.44" width="0.1524" layer="91"/>
-<junction x="27.94" y="91.44"/>
+<wire x1="53.34" y1="88.9" x2="53.34" y2="104.14" width="0.1524" layer="91"/>
+<wire x1="58.42" y1="88.9" x2="53.34" y2="88.9" width="0.1524" layer="91"/>
+<junction x="53.34" y="88.9"/>
<pinref part="P+1" gate="VCC" pin="VCC"/>
</segment>
<segment>
<pinref part="X2" gate="-14" pin="S"/>
-<wire x1="114.3" y1="142.24" x2="116.84" y2="142.24" width="0.1524" layer="91"/>
-<wire x1="116.84" y1="142.24" x2="116.84" y2="144.78" width="0.1524" layer="91"/>
+<wire x1="139.7" y1="139.7" x2="142.24" y2="139.7" width="0.1524" layer="91"/>
+<wire x1="142.24" y1="139.7" x2="142.24" y2="142.24" width="0.1524" layer="91"/>
<pinref part="P+2" gate="VCC" pin="VCC"/>
</segment>
<segment>
<pinref part="C1" gate="G$1" pin="1"/>
-<wire x1="22.86" y1="149.86" x2="22.86" y2="152.4" width="0.1524" layer="91"/>
+<wire x1="48.26" y1="147.32" x2="48.26" y2="149.86" width="0.1524" layer="91"/>
<pinref part="P+3" gate="VCC" pin="VCC"/>
-<wire x1="22.86" y1="152.4" x2="30.48" y2="152.4" width="0.1524" layer="91"/>
-<wire x1="30.48" y1="152.4" x2="38.1" y2="152.4" width="0.1524" layer="91"/>
-<wire x1="38.1" y1="152.4" x2="45.72" y2="152.4" width="0.1524" layer="91"/>
-<wire x1="45.72" y1="152.4" x2="53.34" y2="152.4" width="0.1524" layer="91"/>
-<wire x1="53.34" y1="152.4" x2="58.42" y2="152.4" width="0.1524" layer="91"/>
-<wire x1="58.42" y1="152.4" x2="58.42" y2="154.94" width="0.1524" layer="91"/>
+<wire x1="48.26" y1="149.86" x2="55.88" y2="149.86" width="0.1524" layer="91"/>
+<wire x1="55.88" y1="149.86" x2="63.5" y2="149.86" width="0.1524" layer="91"/>
+<wire x1="63.5" y1="149.86" x2="71.12" y2="149.86" width="0.1524" layer="91"/>
+<wire x1="71.12" y1="149.86" x2="78.74" y2="149.86" width="0.1524" layer="91"/>
+<wire x1="78.74" y1="149.86" x2="83.82" y2="149.86" width="0.1524" layer="91"/>
+<wire x1="83.82" y1="149.86" x2="83.82" y2="152.4" width="0.1524" layer="91"/>
<pinref part="C5" gate="G$1" pin="1"/>
-<wire x1="53.34" y1="152.4" x2="53.34" y2="149.86" width="0.1524" layer="91"/>
+<wire x1="78.74" y1="149.86" x2="78.74" y2="147.32" width="0.1524" layer="91"/>
<pinref part="C4" gate="G$1" pin="1"/>
-<wire x1="45.72" y1="152.4" x2="45.72" y2="149.86" width="0.1524" layer="91"/>
+<wire x1="71.12" y1="149.86" x2="71.12" y2="147.32" width="0.1524" layer="91"/>
<pinref part="C3" gate="G$1" pin="1"/>
-<wire x1="38.1" y1="152.4" x2="38.1" y2="149.86" width="0.1524" layer="91"/>
+<wire x1="63.5" y1="149.86" x2="63.5" y2="147.32" width="0.1524" layer="91"/>
<pinref part="C2" gate="G$1" pin="1"/>
-<wire x1="30.48" y1="152.4" x2="30.48" y2="149.86" width="0.1524" layer="91"/>
-<junction x="30.48" y="152.4"/>
-<junction x="38.1" y="152.4"/>
-<junction x="45.72" y="152.4"/>
-<junction x="53.34" y="152.4"/>
+<wire x1="55.88" y1="149.86" x2="55.88" y2="147.32" width="0.1524" layer="91"/>
+<junction x="55.88" y="149.86"/>
+<junction x="63.5" y="149.86"/>
+<junction x="71.12" y="149.86"/>
+<junction x="78.74" y="149.86"/>
</segment>
<segment>
-<pinref part="SV1" gate="1" pin="6"/>
<pinref part="P+4" gate="VCC" pin="VCC"/>
-<wire x1="-12.7" y1="40.64" x2="-10.16" y2="40.64" width="0.1524" layer="91"/>
-<wire x1="-10.16" y1="40.64" x2="-10.16" y2="43.18" width="0.1524" layer="91"/>
+<wire x1="15.24" y1="45.72" x2="15.24" y2="48.26" width="0.1524" layer="91"/>
+<pinref part="SV1" gate="1" pin="10"/>
+<wire x1="12.7" y1="45.72" x2="15.24" y2="45.72" width="0.1524" layer="91"/>
</segment>
</net>
-<net name="!WE" class="0">
+<net name="!RAM_WE" class="0">
<segment>
<pinref part="IC5" gate="G$1" pin="!WE"/>
-<wire x1="76.2" y1="35.56" x2="88.9" y2="35.56" width="0.1524" layer="91"/>
-<label x="88.9" y="35.56" size="1.778" layer="95" rot="MR0"/>
+<wire x1="101.6" y1="33.02" x2="114.3" y2="33.02" width="0.1524" layer="91"/>
+<label x="114.3" y="33.02" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X2" gate="-13" pin="S"/>
-<wire x1="114.3" y1="139.7" x2="127" y2="139.7" width="0.1524" layer="91"/>
-<label x="114.3" y="139.7" size="1.778" layer="95"/>
+<wire x1="139.7" y1="137.16" x2="152.4" y2="137.16" width="0.1524" layer="91"/>
+<label x="139.7" y="137.16" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="SV1" gate="1" pin="8"/>
+<wire x1="38.1" y1="40.64" x2="12.7" y2="40.64" width="0.1524" layer="91"/>
+<label x="15.24" y="40.64" size="1.778" layer="95"/>
</segment>
</net>
<net name="!RAM_CS" class="0">
<segment>
<pinref part="IC5" gate="G$1" pin="!CS"/>
-<wire x1="76.2" y1="33.02" x2="88.9" y2="33.02" width="0.1524" layer="91"/>
-<label x="88.9" y="33.02" size="1.778" layer="95" rot="MR0"/>
+<wire x1="101.6" y1="30.48" x2="114.3" y2="30.48" width="0.1524" layer="91"/>
+<label x="114.3" y="30.48" size="1.778" layer="95" rot="MR0"/>
</segment>
<segment>
<pinref part="X2" gate="-6" pin="S"/>
-<wire x1="114.3" y1="121.92" x2="127" y2="121.92" width="0.1524" layer="91"/>
-<label x="114.3" y="121.92" size="1.778" layer="95"/>
+<wire x1="139.7" y1="119.38" x2="152.4" y2="119.38" width="0.1524" layer="91"/>
+<label x="139.7" y="119.38" size="1.778" layer="95"/>
</segment>
-</net>
-<net name="!OE" class="0">
<segment>
-<pinref part="IC5" gate="G$1" pin="!OE"/>
-<wire x1="76.2" y1="38.1" x2="88.9" y2="38.1" width="0.1524" layer="91"/>
-<label x="88.9" y="38.1" size="1.778" layer="95" rot="MR0"/>
-</segment>
-<segment>
-<pinref part="X2" gate="-8" pin="S"/>
-<wire x1="114.3" y1="127" x2="127" y2="127" width="0.1524" layer="91"/>
-<label x="114.3" y="127" size="1.778" layer="95"/>
+<pinref part="SV1" gate="1" pin="9"/>
+<wire x1="38.1" y1="43.18" x2="12.7" y2="43.18" width="0.1524" layer="91"/>
+<label x="15.24" y="43.18" size="1.778" layer="95"/>
</segment>
</net>
<net name="GND" class="0">
<segment>
<pinref part="X1" gate="-14" pin="S"/>
-<wire x1="88.9" y1="109.22" x2="86.36" y2="109.22" width="0.1524" layer="91"/>
-<wire x1="86.36" y1="109.22" x2="86.36" y2="106.68" width="0.1524" layer="91"/>
+<wire x1="114.3" y1="106.68" x2="111.76" y2="106.68" width="0.1524" layer="91"/>
+<wire x1="111.76" y1="106.68" x2="111.76" y2="104.14" width="0.1524" layer="91"/>
<pinref part="GND1" gate="1" pin="GND"/>
</segment>
<segment>
<pinref part="C1" gate="G$1" pin="2"/>
-<wire x1="22.86" y1="142.24" x2="22.86" y2="139.7" width="0.1524" layer="91"/>
-<wire x1="22.86" y1="139.7" x2="30.48" y2="139.7" width="0.1524" layer="91"/>
-<wire x1="30.48" y1="139.7" x2="38.1" y2="139.7" width="0.1524" layer="91"/>
-<wire x1="38.1" y1="139.7" x2="45.72" y2="139.7" width="0.1524" layer="91"/>
-<wire x1="53.34" y1="139.7" x2="58.42" y2="139.7" width="0.1524" layer="91"/>
-<wire x1="58.42" y1="139.7" x2="58.42" y2="137.16" width="0.1524" layer="91"/>
+<wire x1="48.26" y1="139.7" x2="48.26" y2="137.16" width="0.1524" layer="91"/>
+<wire x1="48.26" y1="137.16" x2="55.88" y2="137.16" width="0.1524" layer="91"/>
+<wire x1="55.88" y1="137.16" x2="63.5" y2="137.16" width="0.1524" layer="91"/>
+<wire x1="63.5" y1="137.16" x2="71.12" y2="137.16" width="0.1524" layer="91"/>
+<wire x1="78.74" y1="137.16" x2="83.82" y2="137.16" width="0.1524" layer="91"/>
+<wire x1="83.82" y1="137.16" x2="83.82" y2="134.62" width="0.1524" layer="91"/>
<pinref part="C5" gate="G$1" pin="2"/>
-<wire x1="53.34" y1="142.24" x2="53.34" y2="139.7" width="0.1524" layer="91"/>
+<wire x1="78.74" y1="139.7" x2="78.74" y2="137.16" width="0.1524" layer="91"/>
<pinref part="C4" gate="G$1" pin="2"/>
-<wire x1="53.34" y1="139.7" x2="45.72" y2="139.7" width="0.1524" layer="91"/>
-<wire x1="45.72" y1="139.7" x2="45.72" y2="142.24" width="0.1524" layer="91"/>
+<wire x1="78.74" y1="137.16" x2="71.12" y2="137.16" width="0.1524" layer="91"/>
+<wire x1="71.12" y1="137.16" x2="71.12" y2="139.7" width="0.1524" layer="91"/>
<pinref part="C2" gate="G$1" pin="2"/>
-<wire x1="30.48" y1="142.24" x2="30.48" y2="139.7" width="0.1524" layer="91"/>
+<wire x1="55.88" y1="139.7" x2="55.88" y2="137.16" width="0.1524" layer="91"/>
<pinref part="C3" gate="G$1" pin="2"/>
-<wire x1="38.1" y1="142.24" x2="38.1" y2="139.7" width="0.1524" layer="91"/>
-<junction x="30.48" y="139.7"/>
-<junction x="38.1" y="139.7"/>
-<junction x="53.34" y="139.7"/>
-<junction x="45.72" y="139.7"/>
+<wire x1="63.5" y1="139.7" x2="63.5" y2="137.16" width="0.1524" layer="91"/>
+<junction x="55.88" y="137.16"/>
+<junction x="63.5" y="137.16"/>
+<junction x="78.74" y="137.16"/>
+<junction x="71.12" y="137.16"/>
<pinref part="GND2" gate="1" pin="GND"/>
</segment>
<segment>
-<pinref part="SV1" gate="1" pin="1"/>
<pinref part="GND3" gate="1" pin="GND"/>
-<wire x1="-12.7" y1="27.94" x2="-10.16" y2="27.94" width="0.1524" layer="91"/>
-<wire x1="-10.16" y1="27.94" x2="-10.16" y2="25.4" width="0.1524" layer="91"/>
+<wire x1="15.24" y1="22.86" x2="15.24" y2="20.32" width="0.1524" layer="91"/>
+<pinref part="SV1" gate="1" pin="1"/>
+<wire x1="15.24" y1="22.86" x2="12.7" y2="22.86" width="0.1524" layer="91"/>
</segment>
<segment>
<pinref part="IC1" gate="A" pin="INH"/>
<pinref part="GND4" gate="1" pin="GND"/>
-<wire x1="149.86" y1="71.12" x2="137.16" y2="71.12" width="0.1524" layer="91"/>
-<wire x1="137.16" y1="71.12" x2="137.16" y2="68.58" width="0.1524" layer="91"/>
+<wire x1="175.26" y1="68.58" x2="162.56" y2="68.58" width="0.1524" layer="91"/>
+<wire x1="162.56" y1="68.58" x2="162.56" y2="66.04" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="GND5" gate="1" pin="GND"/>
+<wire x1="205.74" y1="20.32" x2="205.74" y2="35.56" width="0.1524" layer="91"/>
+<pinref part="IC2" gate="A" pin="SCL"/>
+<wire x1="205.74" y1="35.56" x2="200.66" y2="35.56" width="0.1524" layer="91"/>
</segment>
</net>
-<net name="D_OUT" class="0">
+<net name="!RAM_OE" class="0">
+<segment>
+<pinref part="IC5" gate="G$1" pin="!OE"/>
+<wire x1="101.6" y1="35.56" x2="114.3" y2="35.56" width="0.1524" layer="91"/>
+<label x="114.3" y="35.56" size="1.778" layer="95" rot="MR0"/>
+</segment>
+<segment>
+<pinref part="X2" gate="-8" pin="S"/>
+<wire x1="139.7" y1="124.46" x2="152.4" y2="124.46" width="0.1524" layer="91"/>
+<label x="139.7" y="124.46" size="1.778" layer="95"/>
+</segment>
+<segment>
+<wire x1="38.1" y1="38.1" x2="12.7" y2="38.1" width="0.1524" layer="91"/>
+<label x="15.24" y="38.1" size="1.778" layer="95"/>
+<pinref part="SV1" gate="1" pin="7"/>
+</segment>
+</net>
+<net name="BIT_IN" class="0">
+<segment>
+<pinref part="SV1" gate="1" pin="2"/>
+<wire x1="38.1" y1="25.4" x2="12.7" y2="25.4" width="0.1524" layer="91"/>
+<label x="15.24" y="25.4" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC3" gate="A" pin="SER"/>
+<wire x1="38.1" y1="96.52" x2="58.42" y2="96.52" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="BIT_OUT" class="0">
+<segment>
+<pinref part="SV1" gate="1" pin="3"/>
+<wire x1="38.1" y1="27.94" x2="12.7" y2="27.94" width="0.1524" layer="91"/>
+<label x="15.24" y="27.94" size="1.778" layer="95"/>
+</segment>
<segment>
-<pinref part="SV1" gate="1" pin="5"/>
-<wire x1="-12.7" y1="38.1" x2="15.24" y2="38.1" width="0.1524" layer="91"/>
-<wire x1="15.24" y1="38.1" x2="15.24" y2="5.08" width="0.1524" layer="91"/>
-<wire x1="15.24" y1="5.08" x2="190.5" y2="5.08" width="0.1524" layer="91"/>
-<wire x1="190.5" y1="5.08" x2="190.5" y2="96.52" width="0.1524" layer="91"/>
<pinref part="IC1" gate="A" pin="QH"/>
-<wire x1="190.5" y1="96.52" x2="175.26" y2="96.52" width="0.1524" layer="91"/>
-<label x="-10.16" y="38.1" size="1.778" layer="95"/>
-<label x="177.8" y="96.52" size="1.778" layer="95"/>
+<wire x1="210.82" y1="93.98" x2="200.66" y2="93.98" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="BIT_CLK" class="0">
+<segment>
+<pinref part="SV1" gate="1" pin="4"/>
+<wire x1="38.1" y1="30.48" x2="12.7" y2="30.48" width="0.1524" layer="91"/>
+<label x="15.24" y="30.48" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="SCK"/>
+<wire x1="210.82" y1="33.02" x2="200.66" y2="33.02" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="IC1" gate="A" pin="CLK"/>
+<wire x1="175.26" y1="71.12" x2="170.18" y2="71.12" width="0.1524" layer="91"/>
+<wire x1="170.18" y1="71.12" x2="170.18" y2="58.42" width="0.1524" layer="91"/>
+<wire x1="210.82" y1="58.42" x2="170.18" y2="58.42" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="IC4" gate="A" pin="SCK"/>
+<wire x1="38.1" y1="48.26" x2="58.42" y2="48.26" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="IC3" gate="A" pin="SCK"/>
+<wire x1="38.1" y1="91.44" x2="58.42" y2="91.44" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="BYTE_CLK" class="0">
+<segment>
+<pinref part="SV1" gate="1" pin="5"/>
+<wire x1="38.1" y1="33.02" x2="12.7" y2="33.02" width="0.1524" layer="91"/>
+<label x="15.24" y="33.02" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC4" gate="A" pin="RCK"/>
+<wire x1="38.1" y1="40.64" x2="58.42" y2="40.64" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="IC3" gate="A" pin="RCK"/>
+<wire x1="38.1" y1="83.82" x2="58.42" y2="83.82" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="RCK"/>
+<wire x1="210.82" y1="40.64" x2="200.66" y2="40.64" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="IC1" gate="A" pin="SH/!LD"/>
+<wire x1="175.26" y1="66.04" x2="172.72" y2="66.04" width="0.1524" layer="91"/>
+<wire x1="172.72" y1="66.04" x2="172.72" y2="60.96" width="0.1524" layer="91"/>
+<wire x1="210.82" y1="60.96" x2="172.72" y2="60.96" width="0.1524" layer="91"/>
+</segment>
+</net>
+<net name="!CTRL_OE" class="0">
+<segment>
+<pinref part="SV1" gate="1" pin="6"/>
+<wire x1="38.1" y1="35.56" x2="12.7" y2="35.56" width="0.1524" layer="91"/>
+<label x="15.24" y="35.56" size="1.778" layer="95"/>
+</segment>
+<segment>
+<pinref part="IC4" gate="A" pin="G"/>
+<wire x1="38.1" y1="30.48" x2="58.42" y2="30.48" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="IC2" gate="A" pin="G"/>
+<wire x1="200.66" y1="50.8" x2="210.82" y2="50.8" width="0.1524" layer="91"/>
+</segment>
+<segment>
+<pinref part="IC3" gate="A" pin="G"/>
+<wire x1="38.1" y1="73.66" x2="58.42" y2="73.66" width="0.1524" layer="91"/>
</segment>
</net>
</nets>
diff --git a/vhdl/ram-ice.xise b/vhdl/ram-ice.xise
index 53fce3b..337c9a3 100644
--- a/vhdl/ram-ice.xise
+++ b/vhdl/ram-ice.xise
@@ -32,12 +32,12 @@
</file>
<file xil_pn:name="fmf/ff_package.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="3"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="2"/>
<library xil_pn:name="fmf"/>
</file>
<file xil_pn:name="fmf/gen_utils.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="2"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
<library xil_pn:name="fmf"/>
</file>
<file xil_pn:name="fmf/memory.vhd" xil_pn:type="FILE_VHDL">
@@ -47,17 +47,17 @@
</file>
<file xil_pn:name="fmf/state_tab_package.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="0"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="1"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="fmf"/>
</file>
<file xil_pn:name="fmf/std165.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="6"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="4"/>
<library xil_pn:name="fmf"/>
</file>
<file xil_pn:name="fmf/std595.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="5"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="3"/>
<library xil_pn:name="fmf"/>
</file>
<file xil_pn:name="mcu_interface_tb.vhd" xil_pn:type="FILE_VHDL">
@@ -66,16 +66,16 @@
</file>
<file xil_pn:name="mcu_interface.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="7"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="5"/>
</file>
<file xil_pn:name="ieee_proposed/std_logic_1164_additions.vhdl" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="1"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="66"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="0"/>
<library xil_pn:name="ieee_proposed"/>
</file>
<file xil_pn:name="ice.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="9"/>
- <association xil_pn:name="Implementation" xil_pn:seqID="57"/>
+ <association xil_pn:name="Implementation" xil_pn:seqID="6"/>
</file>
<file xil_pn:name="AS7C256A.vhd" xil_pn:type="FILE_VHDL">
<association xil_pn:name="BehavioralSimulation" xil_pn:seqID="8"/>