aboutsummaryrefslogtreecommitdiff
path: root/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities
diff options
context:
space:
mode:
Diffstat (limited to 'thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities')
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/fonts.c997
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/fonts.h118
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/stm32_eval_i2c_ee.c854
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/stm32_eval_i2c_ee.h201
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Release_Notes.html328
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100B_EVAL/stm32100b_eval.c624
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100B_EVAL/stm32100b_eval.h341
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval.c762
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval.h393
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_fsmc_sram.c237
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_fsmc_sram.h104
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_lcd.c1419
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_lcd.h359
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210C_EVAL/stm3210c_eval_lcd.c1407
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210C_EVAL/stm3210c_eval_lcd.h379
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210E_EVAL/stm3210e_eval_lcd.h359
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_glass_lcd.c855
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_glass_lcd.h125
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_lcd.h392
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/stm32_eval.c120
-rw-r--r--thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/stm32_eval.h368
21 files changed, 10742 insertions, 0 deletions
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/fonts.c b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/fonts.c
new file mode 100644
index 0000000..04c5560
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/fonts.c
@@ -0,0 +1,997 @@
+/**
+ ******************************************************************************
+ * @file fonts.c
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file provides text fonts for STM32xx-EVAL's LCD driver.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Includes ------------------------------------------------------------------*/
+#include "fonts.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup Common
+ * @{
+ */
+
+/** @addtogroup FONTS
+ * @brief This file includes the Fonts driver of STM32-EVAL boards.
+ * @{
+ */
+
+/** @defgroup FONTS_Private_Types
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup FONTS_Private_Defines
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup FONTS_Private_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup FONTS_Private_Variables
+ * @{
+ */
+const uint16_t ASCII16x24_Table [] = {
+/**
+ * @brief Space ' '
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '!'
+ */
+ 0x0000, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0000, 0x0000,
+ 0x0180, 0x0180, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '"'
+ */
+ 0x0000, 0x0000, 0x00CC, 0x00CC, 0x00CC, 0x00CC, 0x00CC, 0x00CC,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '#'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0C60, 0x0C60,
+ 0x0C60, 0x0630, 0x0630, 0x1FFE, 0x1FFE, 0x0630, 0x0738, 0x0318,
+ 0x1FFE, 0x1FFE, 0x0318, 0x0318, 0x018C, 0x018C, 0x018C, 0x0000,
+/**
+ * @brief '$'
+ */
+ 0x0000, 0x0080, 0x03E0, 0x0FF8, 0x0E9C, 0x1C8C, 0x188C, 0x008C,
+ 0x0098, 0x01F8, 0x07E0, 0x0E80, 0x1C80, 0x188C, 0x188C, 0x189C,
+ 0x0CB8, 0x0FF0, 0x03E0, 0x0080, 0x0080, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '%'
+ */
+ 0x0000, 0x0000, 0x0000, 0x180E, 0x0C1B, 0x0C11, 0x0611, 0x0611,
+ 0x0311, 0x0311, 0x019B, 0x018E, 0x38C0, 0x6CC0, 0x4460, 0x4460,
+ 0x4430, 0x4430, 0x4418, 0x6C18, 0x380C, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '&'
+ */
+ 0x0000, 0x01E0, 0x03F0, 0x0738, 0x0618, 0x0618, 0x0330, 0x01F0,
+ 0x00F0, 0x00F8, 0x319C, 0x330E, 0x1E06, 0x1C06, 0x1C06, 0x3F06,
+ 0x73FC, 0x21F0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '''
+ */
+ 0x0000, 0x0000, 0x000C, 0x000C, 0x000C, 0x000C, 0x000C, 0x000C,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '('
+ */
+ 0x0000, 0x0200, 0x0300, 0x0180, 0x00C0, 0x00C0, 0x0060, 0x0060,
+ 0x0030, 0x0030, 0x0030, 0x0030, 0x0030, 0x0030, 0x0030, 0x0030,
+ 0x0060, 0x0060, 0x00C0, 0x00C0, 0x0180, 0x0300, 0x0200, 0x0000,
+/**
+ * @brief ')'
+ */
+ 0x0000, 0x0020, 0x0060, 0x00C0, 0x0180, 0x0180, 0x0300, 0x0300,
+ 0x0600, 0x0600, 0x0600, 0x0600, 0x0600, 0x0600, 0x0600, 0x0600,
+ 0x0300, 0x0300, 0x0180, 0x0180, 0x00C0, 0x0060, 0x0020, 0x0000,
+/**
+ * @brief '*'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x00C0, 0x00C0,
+ 0x06D8, 0x07F8, 0x01E0, 0x0330, 0x0738, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '+'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0180, 0x3FFC, 0x3FFC, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief ','
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0180, 0x0180, 0x0100, 0x0100, 0x0080, 0x0000, 0x0000,
+/**
+ * @brief '-'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x07E0, 0x07E0, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '.'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x00C0, 0x00C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '/'
+ */
+ 0x0000, 0x0C00, 0x0C00, 0x0600, 0x0600, 0x0600, 0x0300, 0x0300,
+ 0x0300, 0x0380, 0x0180, 0x0180, 0x0180, 0x00C0, 0x00C0, 0x00C0,
+ 0x0060, 0x0060, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '0'
+ */
+ 0x0000, 0x03E0, 0x07F0, 0x0E38, 0x0C18, 0x180C, 0x180C, 0x180C,
+ 0x180C, 0x180C, 0x180C, 0x180C, 0x180C, 0x180C, 0x0C18, 0x0E38,
+ 0x07F0, 0x03E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '1'
+ */
+ 0x0000, 0x0100, 0x0180, 0x01C0, 0x01F0, 0x0198, 0x0188, 0x0180,
+ 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '2'
+ */
+ 0x0000, 0x03E0, 0x0FF8, 0x0C18, 0x180C, 0x180C, 0x1800, 0x1800,
+ 0x0C00, 0x0600, 0x0300, 0x0180, 0x00C0, 0x0060, 0x0030, 0x0018,
+ 0x1FFC, 0x1FFC, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '3'
+ */
+ 0x0000, 0x01E0, 0x07F8, 0x0E18, 0x0C0C, 0x0C0C, 0x0C00, 0x0600,
+ 0x03C0, 0x07C0, 0x0C00, 0x1800, 0x1800, 0x180C, 0x180C, 0x0C18,
+ 0x07F8, 0x03E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '4'
+ */
+ 0x0000, 0x0C00, 0x0E00, 0x0F00, 0x0F00, 0x0D80, 0x0CC0, 0x0C60,
+ 0x0C60, 0x0C30, 0x0C18, 0x0C0C, 0x3FFC, 0x3FFC, 0x0C00, 0x0C00,
+ 0x0C00, 0x0C00, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '5'
+ */
+ 0x0000, 0x0FF8, 0x0FF8, 0x0018, 0x0018, 0x000C, 0x03EC, 0x07FC,
+ 0x0E1C, 0x1C00, 0x1800, 0x1800, 0x1800, 0x180C, 0x0C1C, 0x0E18,
+ 0x07F8, 0x03E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '6'
+ */
+ 0x0000, 0x07C0, 0x0FF0, 0x1C38, 0x1818, 0x0018, 0x000C, 0x03CC,
+ 0x0FEC, 0x0E3C, 0x1C1C, 0x180C, 0x180C, 0x180C, 0x1C18, 0x0E38,
+ 0x07F0, 0x03E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '7'
+ */
+ 0x0000, 0x1FFC, 0x1FFC, 0x0C00, 0x0600, 0x0600, 0x0300, 0x0380,
+ 0x0180, 0x01C0, 0x00C0, 0x00E0, 0x0060, 0x0060, 0x0070, 0x0030,
+ 0x0030, 0x0030, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '8'
+ */
+ 0x0000, 0x03E0, 0x07F0, 0x0E38, 0x0C18, 0x0C18, 0x0C18, 0x0638,
+ 0x07F0, 0x07F0, 0x0C18, 0x180C, 0x180C, 0x180C, 0x180C, 0x0C38,
+ 0x0FF8, 0x03E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '9'
+ */
+ 0x0000, 0x03E0, 0x07F0, 0x0E38, 0x0C1C, 0x180C, 0x180C, 0x180C,
+ 0x1C1C, 0x1E38, 0x1BF8, 0x19E0, 0x1800, 0x0C00, 0x0C00, 0x0E1C,
+ 0x07F8, 0x01F0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief ':'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0180, 0x0180,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0180, 0x0180, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief ';'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0180, 0x0180,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0180, 0x0180, 0x0100, 0x0100, 0x0080, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '<'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x1000, 0x1C00, 0x0F80, 0x03E0, 0x00F8, 0x0018, 0x00F8, 0x03E0,
+ 0x0F80, 0x1C00, 0x1000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '='
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x1FF8, 0x0000, 0x0000, 0x0000, 0x1FF8, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '>'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0008, 0x0038, 0x01F0, 0x07C0, 0x1F00, 0x1800, 0x1F00, 0x07C0,
+ 0x01F0, 0x0038, 0x0008, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '?'
+ */
+ 0x0000, 0x03E0, 0x0FF8, 0x0C18, 0x180C, 0x180C, 0x1800, 0x0C00,
+ 0x0600, 0x0300, 0x0180, 0x00C0, 0x00C0, 0x00C0, 0x0000, 0x0000,
+ 0x00C0, 0x00C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '@'
+ */
+ 0x0000, 0x0000, 0x07E0, 0x1818, 0x2004, 0x29C2, 0x4A22, 0x4411,
+ 0x4409, 0x4409, 0x4409, 0x2209, 0x1311, 0x0CE2, 0x4002, 0x2004,
+ 0x1818, 0x07E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'A'
+ */
+ 0x0000, 0x0380, 0x0380, 0x06C0, 0x06C0, 0x06C0, 0x0C60, 0x0C60,
+ 0x1830, 0x1830, 0x1830, 0x3FF8, 0x3FF8, 0x701C, 0x600C, 0x600C,
+ 0xC006, 0xC006, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'B'
+ */
+ 0x0000, 0x03FC, 0x0FFC, 0x0C0C, 0x180C, 0x180C, 0x180C, 0x0C0C,
+ 0x07FC, 0x0FFC, 0x180C, 0x300C, 0x300C, 0x300C, 0x300C, 0x180C,
+ 0x1FFC, 0x07FC, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'C'
+ */
+ 0x0000, 0x07C0, 0x1FF0, 0x3838, 0x301C, 0x700C, 0x6006, 0x0006,
+ 0x0006, 0x0006, 0x0006, 0x0006, 0x0006, 0x6006, 0x700C, 0x301C,
+ 0x1FF0, 0x07E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'D'
+ */
+ 0x0000, 0x03FE, 0x0FFE, 0x0E06, 0x1806, 0x1806, 0x3006, 0x3006,
+ 0x3006, 0x3006, 0x3006, 0x3006, 0x3006, 0x1806, 0x1806, 0x0E06,
+ 0x0FFE, 0x03FE, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'E'
+ */
+ 0x0000, 0x3FFC, 0x3FFC, 0x000C, 0x000C, 0x000C, 0x000C, 0x000C,
+ 0x1FFC, 0x1FFC, 0x000C, 0x000C, 0x000C, 0x000C, 0x000C, 0x000C,
+ 0x3FFC, 0x3FFC, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'F'
+ */
+ 0x0000, 0x3FF8, 0x3FF8, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018,
+ 0x1FF8, 0x1FF8, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018,
+ 0x0018, 0x0018, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'G'
+ */
+ 0x0000, 0x0FE0, 0x3FF8, 0x783C, 0x600E, 0xE006, 0xC007, 0x0003,
+ 0x0003, 0xFE03, 0xFE03, 0xC003, 0xC007, 0xC006, 0xC00E, 0xF03C,
+ 0x3FF8, 0x0FE0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'H'
+ */
+ 0x0000, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C,
+ 0x3FFC, 0x3FFC, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C,
+ 0x300C, 0x300C, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'I'
+ */
+ 0x0000, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'J'
+ */
+ 0x0000, 0x0600, 0x0600, 0x0600, 0x0600, 0x0600, 0x0600, 0x0600,
+ 0x0600, 0x0600, 0x0600, 0x0600, 0x0600, 0x0618, 0x0618, 0x0738,
+ 0x03F0, 0x01E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'K'
+ */
+ 0x0000, 0x3006, 0x1806, 0x0C06, 0x0606, 0x0306, 0x0186, 0x00C6,
+ 0x0066, 0x0076, 0x00DE, 0x018E, 0x0306, 0x0606, 0x0C06, 0x1806,
+ 0x3006, 0x6006, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'L'
+ */
+ 0x0000, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018,
+ 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018,
+ 0x1FF8, 0x1FF8, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'M'
+ */
+ 0x0000, 0xE00E, 0xF01E, 0xF01E, 0xF01E, 0xD836, 0xD836, 0xD836,
+ 0xD836, 0xCC66, 0xCC66, 0xCC66, 0xC6C6, 0xC6C6, 0xC6C6, 0xC6C6,
+ 0xC386, 0xC386, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'N'
+ */
+ 0x0000, 0x300C, 0x301C, 0x303C, 0x303C, 0x306C, 0x306C, 0x30CC,
+ 0x30CC, 0x318C, 0x330C, 0x330C, 0x360C, 0x360C, 0x3C0C, 0x3C0C,
+ 0x380C, 0x300C, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'O'
+ */
+ 0x0000, 0x07E0, 0x1FF8, 0x381C, 0x700E, 0x6006, 0xC003, 0xC003,
+ 0xC003, 0xC003, 0xC003, 0xC003, 0xC003, 0x6006, 0x700E, 0x381C,
+ 0x1FF8, 0x07E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'P'
+ */
+ 0x0000, 0x0FFC, 0x1FFC, 0x380C, 0x300C, 0x300C, 0x300C, 0x300C,
+ 0x180C, 0x1FFC, 0x07FC, 0x000C, 0x000C, 0x000C, 0x000C, 0x000C,
+ 0x000C, 0x000C, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'Q'
+ */
+ 0x0000, 0x07E0, 0x1FF8, 0x381C, 0x700E, 0x6006, 0xE003, 0xC003,
+ 0xC003, 0xC003, 0xC003, 0xC003, 0xE007, 0x6306, 0x3F0E, 0x3C1C,
+ 0x3FF8, 0xF7E0, 0xC000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'R'
+ */
+ 0x0000, 0x0FFE, 0x1FFE, 0x3806, 0x3006, 0x3006, 0x3006, 0x3806,
+ 0x1FFE, 0x07FE, 0x0306, 0x0606, 0x0C06, 0x1806, 0x1806, 0x3006,
+ 0x3006, 0x6006, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'S'
+ */
+ 0x0000, 0x03E0, 0x0FF8, 0x0C1C, 0x180C, 0x180C, 0x000C, 0x001C,
+ 0x03F8, 0x0FE0, 0x1E00, 0x3800, 0x3006, 0x3006, 0x300E, 0x1C1C,
+ 0x0FF8, 0x07E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'T'
+ */
+ 0x0000, 0x7FFE, 0x7FFE, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'U'
+ */
+ 0x0000, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C,
+ 0x300C, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C, 0x300C, 0x1818,
+ 0x1FF8, 0x07E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'V'
+ */
+ 0x0000, 0x6003, 0x3006, 0x3006, 0x3006, 0x180C, 0x180C, 0x180C,
+ 0x0C18, 0x0C18, 0x0E38, 0x0630, 0x0630, 0x0770, 0x0360, 0x0360,
+ 0x01C0, 0x01C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'W'
+ */
+ 0x0000, 0x6003, 0x61C3, 0x61C3, 0x61C3, 0x3366, 0x3366, 0x3366,
+ 0x3366, 0x3366, 0x3366, 0x1B6C, 0x1B6C, 0x1B6C, 0x1A2C, 0x1E3C,
+ 0x0E38, 0x0E38, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'X'
+ */
+ 0x0000, 0xE00F, 0x700C, 0x3018, 0x1830, 0x0C70, 0x0E60, 0x07C0,
+ 0x0380, 0x0380, 0x03C0, 0x06E0, 0x0C70, 0x1C30, 0x1818, 0x300C,
+ 0x600E, 0xE007, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'Y'
+ */
+ 0x0000, 0xC003, 0x6006, 0x300C, 0x381C, 0x1838, 0x0C30, 0x0660,
+ 0x07E0, 0x03C0, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'Z'
+ */
+ 0x0000, 0x7FFC, 0x7FFC, 0x6000, 0x3000, 0x1800, 0x0C00, 0x0600,
+ 0x0300, 0x0180, 0x00C0, 0x0060, 0x0030, 0x0018, 0x000C, 0x0006,
+ 0x7FFE, 0x7FFE, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '['
+ */
+ 0x0000, 0x03E0, 0x03E0, 0x0060, 0x0060, 0x0060, 0x0060, 0x0060,
+ 0x0060, 0x0060, 0x0060, 0x0060, 0x0060, 0x0060, 0x0060, 0x0060,
+ 0x0060, 0x0060, 0x0060, 0x0060, 0x0060, 0x03E0, 0x03E0, 0x0000,
+/**
+ * @brief '\'
+ */
+ 0x0000, 0x0030, 0x0030, 0x0060, 0x0060, 0x0060, 0x00C0, 0x00C0,
+ 0x00C0, 0x01C0, 0x0180, 0x0180, 0x0180, 0x0300, 0x0300, 0x0300,
+ 0x0600, 0x0600, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief ']'
+ */
+ 0x0000, 0x03E0, 0x03E0, 0x0300, 0x0300, 0x0300, 0x0300, 0x0300,
+ 0x0300, 0x0300, 0x0300, 0x0300, 0x0300, 0x0300, 0x0300, 0x0300,
+ 0x0300, 0x0300, 0x0300, 0x0300, 0x0300, 0x03E0, 0x03E0, 0x0000,
+/**
+ * @brief '^'
+ */
+ 0x0000, 0x0000, 0x01C0, 0x01C0, 0x0360, 0x0360, 0x0360, 0x0630,
+ 0x0630, 0x0C18, 0x0C18, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '_'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0xFFFF, 0xFFFF, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '''
+ */
+ 0x0000, 0x000C, 0x000C, 0x000C, 0x000C, 0x000C, 0x000C, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'a'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x03F0, 0x07F8,
+ 0x0C1C, 0x0C0C, 0x0F00, 0x0FF0, 0x0CF8, 0x0C0C, 0x0C0C, 0x0F1C,
+ 0x0FF8, 0x18F0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'b'
+ */
+ 0x0000, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x03D8, 0x0FF8,
+ 0x0C38, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x0C38,
+ 0x0FF8, 0x03D8, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'c'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x03C0, 0x07F0,
+ 0x0E30, 0x0C18, 0x0018, 0x0018, 0x0018, 0x0018, 0x0C18, 0x0E30,
+ 0x07F0, 0x03C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'd'
+ */
+ 0x0000, 0x1800, 0x1800, 0x1800, 0x1800, 0x1800, 0x1BC0, 0x1FF0,
+ 0x1C30, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1C30,
+ 0x1FF0, 0x1BC0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'e'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x03C0, 0x0FF0,
+ 0x0C30, 0x1818, 0x1FF8, 0x1FF8, 0x0018, 0x0018, 0x1838, 0x1C30,
+ 0x0FF0, 0x07C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'f'
+ */
+ 0x0000, 0x0F80, 0x0FC0, 0x00C0, 0x00C0, 0x00C0, 0x07F0, 0x07F0,
+ 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0,
+ 0x00C0, 0x00C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'g'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0DE0, 0x0FF8,
+ 0x0E18, 0x0C0C, 0x0C0C, 0x0C0C, 0x0C0C, 0x0C0C, 0x0C0C, 0x0E18,
+ 0x0FF8, 0x0DE0, 0x0C00, 0x0C0C, 0x061C, 0x07F8, 0x01F0, 0x0000,
+/**
+ * @brief 'h'
+ */
+ 0x0000, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x07D8, 0x0FF8,
+ 0x1C38, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818,
+ 0x1818, 0x1818, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'i'
+ */
+ 0x0000, 0x00C0, 0x00C0, 0x0000, 0x0000, 0x0000, 0x00C0, 0x00C0,
+ 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0,
+ 0x00C0, 0x00C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'j'
+ */
+ 0x0000, 0x00C0, 0x00C0, 0x0000, 0x0000, 0x0000, 0x00C0, 0x00C0,
+ 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0,
+ 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00F8, 0x0078, 0x0000,
+/**
+ * @brief 'k'
+ */
+ 0x0000, 0x000C, 0x000C, 0x000C, 0x000C, 0x000C, 0x0C0C, 0x060C,
+ 0x030C, 0x018C, 0x00CC, 0x006C, 0x00FC, 0x019C, 0x038C, 0x030C,
+ 0x060C, 0x0C0C, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'l'
+ */
+ 0x0000, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0,
+ 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0,
+ 0x00C0, 0x00C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'm'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x3C7C, 0x7EFF,
+ 0xE3C7, 0xC183, 0xC183, 0xC183, 0xC183, 0xC183, 0xC183, 0xC183,
+ 0xC183, 0xC183, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'n'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0798, 0x0FF8,
+ 0x1C38, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818,
+ 0x1818, 0x1818, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'o'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x03C0, 0x0FF0,
+ 0x0C30, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x0C30,
+ 0x0FF0, 0x03C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'p'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x03D8, 0x0FF8,
+ 0x0C38, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x0C38,
+ 0x0FF8, 0x03D8, 0x0018, 0x0018, 0x0018, 0x0018, 0x0018, 0x0000,
+/**
+ * @brief 'q'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x1BC0, 0x1FF0,
+ 0x1C30, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1C30,
+ 0x1FF0, 0x1BC0, 0x1800, 0x1800, 0x1800, 0x1800, 0x1800, 0x0000,
+/**
+ * @brief 'r'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x07B0, 0x03F0,
+ 0x0070, 0x0030, 0x0030, 0x0030, 0x0030, 0x0030, 0x0030, 0x0030,
+ 0x0030, 0x0030, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 's'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x03E0, 0x03F0,
+ 0x0E38, 0x0C18, 0x0038, 0x03F0, 0x07C0, 0x0C00, 0x0C18, 0x0E38,
+ 0x07F0, 0x03E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 't'
+ */
+ 0x0000, 0x0000, 0x0080, 0x00C0, 0x00C0, 0x00C0, 0x07F0, 0x07F0,
+ 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0,
+ 0x07C0, 0x0780, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'u'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x1818, 0x1818,
+ 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1818, 0x1C38,
+ 0x1FF0, 0x19E0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'v'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x180C, 0x0C18,
+ 0x0C18, 0x0C18, 0x0630, 0x0630, 0x0630, 0x0360, 0x0360, 0x0360,
+ 0x01C0, 0x01C0, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'w'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x41C1, 0x41C1,
+ 0x61C3, 0x6363, 0x6363, 0x6363, 0x3636, 0x3636, 0x3636, 0x1C1C,
+ 0x1C1C, 0x1C1C, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'x'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x381C, 0x1C38,
+ 0x0C30, 0x0660, 0x0360, 0x0360, 0x0360, 0x0360, 0x0660, 0x0C30,
+ 0x1C38, 0x381C, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief 'y'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x3018, 0x1830,
+ 0x1830, 0x1870, 0x0C60, 0x0C60, 0x0CE0, 0x06C0, 0x06C0, 0x0380,
+ 0x0380, 0x0380, 0x0180, 0x0180, 0x01C0, 0x00F0, 0x0070, 0x0000,
+/**
+ * @brief 'z'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x1FFC, 0x1FFC,
+ 0x0C00, 0x0600, 0x0300, 0x0180, 0x00C0, 0x0060, 0x0030, 0x0018,
+ 0x1FFC, 0x1FFC, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+/**
+ * @brief '{'
+ */
+ 0x0000, 0x0300, 0x0180, 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x00C0,
+ 0x00C0, 0x0060, 0x0060, 0x0030, 0x0060, 0x0040, 0x00C0, 0x00C0,
+ 0x00C0, 0x00C0, 0x00C0, 0x00C0, 0x0180, 0x0300, 0x0000, 0x0000,
+/**
+ * @brief '|'
+ */
+ 0x0000, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0180, 0x0000,
+/**
+ * @brief '}'
+ */
+ 0x0000, 0x0060, 0x00C0, 0x01C0, 0x0180, 0x0180, 0x0180, 0x0180,
+ 0x0180, 0x0300, 0x0300, 0x0600, 0x0300, 0x0100, 0x0180, 0x0180,
+ 0x0180, 0x0180, 0x0180, 0x0180, 0x00C0, 0x0060, 0x0000, 0x0000,
+/**
+ * @brief '~'
+ */
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x10F0, 0x1FF8, 0x0F08, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000,
+ 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000, 0x0000};
+
+const uint16_t ASCII12x12_Table [] = {
+ 0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x0000,0x2000,0x0000,0x0000,
+ 0x0000,0x5000,0x5000,0x5000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x0900,0x0900,0x1200,0x7f00,0x1200,0x7f00,0x1200,0x2400,0x2400,0x0000,0x0000,
+ 0x1000,0x3800,0x5400,0x5000,0x5000,0x3800,0x1400,0x5400,0x5400,0x3800,0x1000,0x0000,
+ 0x0000,0x3080,0x4900,0x4900,0x4a00,0x32c0,0x0520,0x0920,0x0920,0x10c0,0x0000,0x0000,
+ 0x0000,0x0c00,0x1200,0x1200,0x1400,0x1800,0x2500,0x2300,0x2300,0x1d80,0x0000,0x0000,
+ 0x0000,0x4000,0x4000,0x4000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x0800,0x1000,0x1000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x1000,0x1000,
+ 0x0000,0x4000,0x2000,0x2000,0x1000,0x1000,0x1000,0x1000,0x1000,0x1000,0x2000,0x2000,
+ 0x0000,0x2000,0x7000,0x2000,0x5000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x0800,0x0800,0x7f00,0x0800,0x0800,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x2000,0x2000,0x4000,
+ 0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x7000,0x0000,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x2000,0x0000,0x0000,
+ 0x0000,0x1000,0x1000,0x1000,0x2000,0x2000,0x2000,0x2000,0x4000,0x4000,0x0000,0x0000,
+ 0x0000,0x1000,0x2800,0x4400,0x4400,0x4400,0x4400,0x4400,0x2800,0x1000,0x0000,0x0000,
+ 0x0000,0x1000,0x3000,0x5000,0x1000,0x1000,0x1000,0x1000,0x1000,0x1000,0x0000,0x0000,
+ 0x0000,0x3000,0x4800,0x4400,0x0400,0x0800,0x1000,0x2000,0x4000,0x7c00,0x0000,0x0000,
+ 0x0000,0x3000,0x4800,0x0400,0x0800,0x1000,0x0800,0x4400,0x4800,0x3000,0x0000,0x0000,
+ 0x0000,0x0800,0x1800,0x1800,0x2800,0x2800,0x4800,0x7c00,0x0800,0x0800,0x0000,0x0000,
+ 0x0000,0x3c00,0x2000,0x4000,0x7000,0x4800,0x0400,0x4400,0x4800,0x3000,0x0000,0x0000,
+ 0x0000,0x1800,0x2400,0x4000,0x5000,0x6800,0x4400,0x4400,0x2800,0x1000,0x0000,0x0000,
+ 0x0000,0x7c00,0x0400,0x0800,0x1000,0x1000,0x1000,0x2000,0x2000,0x2000,0x0000,0x0000,
+ 0x0000,0x1000,0x2800,0x4400,0x2800,0x1000,0x2800,0x4400,0x2800,0x1000,0x0000,0x0000,
+ 0x0000,0x1000,0x2800,0x4400,0x4400,0x2c00,0x1400,0x0400,0x4800,0x3000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x2000,0x0000,0x0000,0x0000,0x0000,0x0000,0x2000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x2000,0x0000,0x0000,0x0000,0x0000,0x0000,0x2000,0x2000,0x4000,
+ 0x0000,0x0000,0x0400,0x0800,0x3000,0x4000,0x3000,0x0800,0x0400,0x0000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x7c00,0x0000,0x0000,0x7c00,0x0000,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x0000,0x4000,0x2000,0x1800,0x0400,0x1800,0x2000,0x4000,0x0000,0x0000,0x0000,
+ 0x0000,0x3800,0x6400,0x4400,0x0400,0x0800,0x1000,0x1000,0x0000,0x1000,0x0000,0x0000,
+ 0x0000,0x0f80,0x1040,0x2ea0,0x51a0,0x5120,0x5120,0x5120,0x5320,0x4dc0,0x2020,0x1040,
+ 0x0000,0x0800,0x1400,0x1400,0x1400,0x2200,0x3e00,0x2200,0x4100,0x4100,0x0000,0x0000,
+ 0x0000,0x3c00,0x2200,0x2200,0x2200,0x3c00,0x2200,0x2200,0x2200,0x3c00,0x0000,0x0000,
+ 0x0000,0x0e00,0x1100,0x2100,0x2000,0x2000,0x2000,0x2100,0x1100,0x0e00,0x0000,0x0000,
+ 0x0000,0x3c00,0x2200,0x2100,0x2100,0x2100,0x2100,0x2100,0x2200,0x3c00,0x0000,0x0000,
+ 0x0000,0x3e00,0x2000,0x2000,0x2000,0x3e00,0x2000,0x2000,0x2000,0x3e00,0x0000,0x0000,
+ 0x0000,0x3e00,0x2000,0x2000,0x2000,0x3c00,0x2000,0x2000,0x2000,0x2000,0x0000,0x0000,
+ 0x0000,0x0e00,0x1100,0x2100,0x2000,0x2700,0x2100,0x2100,0x1100,0x0e00,0x0000,0x0000,
+ 0x0000,0x2100,0x2100,0x2100,0x2100,0x3f00,0x2100,0x2100,0x2100,0x2100,0x0000,0x0000,
+ 0x0000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x0000,0x0000,
+ 0x0000,0x0800,0x0800,0x0800,0x0800,0x0800,0x0800,0x4800,0x4800,0x3000,0x0000,0x0000,
+ 0x0000,0x2200,0x2400,0x2800,0x2800,0x3800,0x2800,0x2400,0x2400,0x2200,0x0000,0x0000,
+ 0x0000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x3e00,0x0000,0x0000,
+ 0x0000,0x2080,0x3180,0x3180,0x3180,0x2a80,0x2a80,0x2a80,0x2a80,0x2480,0x0000,0x0000,
+ 0x0000,0x2100,0x3100,0x3100,0x2900,0x2900,0x2500,0x2300,0x2300,0x2100,0x0000,0x0000,
+ 0x0000,0x0c00,0x1200,0x2100,0x2100,0x2100,0x2100,0x2100,0x1200,0x0c00,0x0000,0x0000,
+ 0x0000,0x3c00,0x2200,0x2200,0x2200,0x3c00,0x2000,0x2000,0x2000,0x2000,0x0000,0x0000,
+ 0x0000,0x0c00,0x1200,0x2100,0x2100,0x2100,0x2100,0x2100,0x1600,0x0d00,0x0100,0x0000,
+ 0x0000,0x3e00,0x2100,0x2100,0x2100,0x3e00,0x2400,0x2200,0x2100,0x2080,0x0000,0x0000,
+ 0x0000,0x1c00,0x2200,0x2200,0x2000,0x1c00,0x0200,0x2200,0x2200,0x1c00,0x0000,0x0000,
+ 0x0000,0x3e00,0x0800,0x0800,0x0800,0x0800,0x0800,0x0800,0x0800,0x0800,0x0000,0x0000,
+ 0x0000,0x2100,0x2100,0x2100,0x2100,0x2100,0x2100,0x2100,0x1200,0x0c00,0x0000,0x0000,
+ 0x0000,0x4100,0x4100,0x2200,0x2200,0x2200,0x1400,0x1400,0x1400,0x0800,0x0000,0x0000,
+ 0x0000,0x4440,0x4a40,0x2a40,0x2a80,0x2a80,0x2a80,0x2a80,0x2a80,0x1100,0x0000,0x0000,
+ 0x0000,0x4100,0x2200,0x1400,0x1400,0x0800,0x1400,0x1400,0x2200,0x4100,0x0000,0x0000,
+ 0x0000,0x4100,0x2200,0x2200,0x1400,0x0800,0x0800,0x0800,0x0800,0x0800,0x0000,0x0000,
+ 0x0000,0x7e00,0x0200,0x0400,0x0800,0x1000,0x1000,0x2000,0x4000,0x7e00,0x0000,0x0000,
+ 0x0000,0x3000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,
+ 0x0000,0x4000,0x4000,0x2000,0x2000,0x2000,0x2000,0x2000,0x1000,0x1000,0x0000,0x0000,
+ 0x0000,0x6000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,
+ 0x0000,0x1000,0x2800,0x2800,0x2800,0x4400,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x7e00,
+ 0x4000,0x2000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x3800,0x4400,0x0400,0x3c00,0x4400,0x4400,0x3c00,0x0000,0x0000,
+ 0x0000,0x4000,0x4000,0x5800,0x6400,0x4400,0x4400,0x4400,0x6400,0x5800,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x3000,0x4800,0x4000,0x4000,0x4000,0x4800,0x3000,0x0000,0x0000,
+ 0x0000,0x0400,0x0400,0x3400,0x4c00,0x4400,0x4400,0x4400,0x4c00,0x3400,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x3800,0x4400,0x4400,0x7c00,0x4000,0x4400,0x3800,0x0000,0x0000,
+ 0x0000,0x6000,0x4000,0xe000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x3400,0x4c00,0x4400,0x4400,0x4400,0x4c00,0x3400,0x0400,0x4400,
+ 0x0000,0x4000,0x4000,0x5800,0x6400,0x4400,0x4400,0x4400,0x4400,0x4400,0x0000,0x0000,
+ 0x0000,0x4000,0x0000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x0000,0x0000,
+ 0x0000,0x4000,0x0000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,
+ 0x0000,0x4000,0x4000,0x4800,0x5000,0x6000,0x5000,0x5000,0x4800,0x4800,0x0000,0x0000,
+ 0x0000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x4000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x5200,0x6d00,0x4900,0x4900,0x4900,0x4900,0x4900,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x5800,0x6400,0x4400,0x4400,0x4400,0x4400,0x4400,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x3800,0x4400,0x4400,0x4400,0x4400,0x4400,0x3800,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x5800,0x6400,0x4400,0x4400,0x4400,0x6400,0x5800,0x4000,0x4000,
+ 0x0000,0x0000,0x0000,0x3400,0x4c00,0x4400,0x4400,0x4400,0x4c00,0x3400,0x0400,0x0400,
+ 0x0000,0x0000,0x0000,0x5000,0x6000,0x4000,0x4000,0x4000,0x4000,0x4000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x3000,0x4800,0x4000,0x3000,0x0800,0x4800,0x3000,0x0000,0x0000,
+ 0x0000,0x4000,0x4000,0xe000,0x4000,0x4000,0x4000,0x4000,0x4000,0x6000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x4400,0x4400,0x4400,0x4400,0x4400,0x4c00,0x3400,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x4400,0x4400,0x2800,0x2800,0x2800,0x2800,0x1000,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x4900,0x4900,0x5500,0x5500,0x5500,0x5500,0x2200,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x4400,0x2800,0x2800,0x1000,0x2800,0x2800,0x4400,0x0000,0x0000,
+ 0x0000,0x0000,0x0000,0x4400,0x4400,0x2800,0x2800,0x2800,0x1000,0x1000,0x1000,0x1000,
+ 0x0000,0x0000,0x0000,0x7800,0x0800,0x1000,0x2000,0x2000,0x4000,0x7800,0x0000,0x0000,
+ 0x0000,0x1000,0x2000,0x2000,0x2000,0x2000,0x4000,0x2000,0x2000,0x2000,0x2000,0x2000,
+ 0x0000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,0x2000,
+ 0x0000,0x4000,0x2000,0x2000,0x2000,0x2000,0x1000,0x2000,0x2000,0x2000,0x2000,0x2000,
+ 0x0000,0x0000,0x0000,0x0000,0x7400,0x5800,0x0000,0x0000,0x0000,0x0000,0x0000,0x0000,
+ 0x0000,0x0000,0x7000,0x5000,0x5000,0x5000,0x5000,0x5000,0x5000,0x7000,0x0000,0x0000};
+
+const uint16_t ASCII8x12_Table [] = {
+ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
+ 0x00,0x00,0x00,0x10,0x10,0x10,0x10,0x10,0x10,0x00,0x10,0x00,
+ 0x00,0x00,0x00,0x28,0x28,0x28,0x00,0x00,0x00,0x00,0x00,0x00,
+ 0x00,0x00,0x00,0x14,0x14,0x3e,0x14,0x28,0x7c,0x28,0x28,0x00,
+ 0x00,0x00,0x10,0x38,0x54,0x50,0x38,0x14,0x14,0x54,0x38,0x10,
+ 0x00,0x00,0x00,0x44,0xa8,0xa8,0x50,0x14,0x1a,0x2a,0x24,0x00,
+ 0x00,0x00,0x00,0x20,0x50,0x50,0x20,0xe8,0x98,0x98,0x60,0x00,
+ 0x00,0x00,0x00,0x80,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x00,
+ 0x00,0x00,0x00,0x40,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
+ 0x00,0x00,0x00,0x80,0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
+ 0x00,0x00,0x00,0x40,0xe0,0x40,0xa0,0x00,0x00,0x00,0x00,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x20,0x20,0xf8,0x20,0x20,0x00,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x40,0x40,
+ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xc0,0x00,0x00,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x40,0x00,
+ 0x00,0x00,0x00,0x20,0x20,0x20,0x40,0x40,0x80,0x80,0x80,0x00,
+ 0x00,0x00,0x00,0x60,0x90,0x90,0x90,0x90,0x90,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0x20,0x60,0xa0,0x20,0x20,0x20,0x20,0x20,0x00,
+ 0x00,0x00,0x00,0x60,0x90,0x10,0x10,0x20,0x40,0x80,0xf0,0x00,
+ 0x00,0x00,0x00,0x60,0x90,0x10,0x60,0x10,0x10,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0x10,0x30,0x50,0x50,0x90,0xf8,0x10,0x10,0x00,
+ 0x00,0x00,0x00,0x70,0x40,0x80,0xe0,0x10,0x10,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0x60,0x90,0x80,0xa0,0xd0,0x90,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0xf0,0x10,0x20,0x20,0x20,0x40,0x40,0x40,0x00,
+ 0x00,0x00,0x00,0x60,0x90,0x90,0x60,0x90,0x90,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0x60,0x90,0x90,0xb0,0x50,0x10,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x40,0x00,0x00,0x00,0x00,0x40,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x40,0x00,0x00,0x00,0x00,0x40,0x40,
+ 0x00,0x00,0x00,0x00,0x00,0x10,0x60,0x80,0x60,0x10,0x00,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x00,0xf0,0x00,0xf0,0x00,0x00,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x80,0x60,0x10,0x60,0x80,0x00,0x00,
+ 0x00,0x00,0x00,0x60,0x90,0x10,0x20,0x40,0x40,0x00,0x40,0x00,
+ 0x00,0x00,0x00,0x1c,0x22,0x5b,0xa5,0xa5,0xa5,0xa5,0x9e,0x41,
+ 0x00,0x00,0x00,0x20,0x50,0x50,0x50,0x50,0x70,0x88,0x88,0x00,
+ 0x00,0x00,0x00,0xf0,0x88,0x88,0xf0,0x88,0x88,0x88,0xf0,0x00,
+ 0x00,0x00,0x00,0x38,0x44,0x84,0x80,0x80,0x84,0x44,0x38,0x00,
+ 0x00,0x00,0x00,0xe0,0x90,0x88,0x88,0x88,0x88,0x90,0xe0,0x00,
+ 0x00,0x00,0x00,0xf8,0x80,0x80,0xf8,0x80,0x80,0x80,0xf8,0x00,
+ 0x00,0x00,0x00,0x78,0x40,0x40,0x70,0x40,0x40,0x40,0x40,0x00,
+ 0x00,0x00,0x00,0x38,0x44,0x84,0x80,0x9c,0x84,0x44,0x38,0x00,
+ 0x00,0x00,0x00,0x88,0x88,0x88,0xf8,0x88,0x88,0x88,0x88,0x00,
+ 0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x00,
+ 0x00,0x00,0x00,0x10,0x10,0x10,0x10,0x10,0x90,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0x88,0x90,0xa0,0xe0,0xa0,0x90,0x90,0x88,0x00,
+ 0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0xf0,0x00,
+ 0x00,0x00,0x00,0x82,0xc6,0xc6,0xaa,0xaa,0xaa,0xaa,0x92,0x00,
+ 0x00,0x00,0x00,0x84,0xc4,0xa4,0xa4,0x94,0x94,0x8c,0x84,0x00,
+ 0x00,0x00,0x00,0x30,0x48,0x84,0x84,0x84,0x84,0x48,0x30,0x00,
+ 0x00,0x00,0x00,0xf0,0x88,0x88,0x88,0xf0,0x80,0x80,0x80,0x00,
+ 0x00,0x00,0x00,0x30,0x48,0x84,0x84,0x84,0x84,0x58,0x34,0x04,
+ 0x00,0x00,0x00,0x78,0x44,0x44,0x78,0x50,0x48,0x44,0x42,0x00,
+ 0x00,0x00,0x00,0x70,0x88,0x80,0x70,0x08,0x88,0x88,0x70,0x00,
+ 0x00,0x00,0x00,0xf8,0x20,0x20,0x20,0x20,0x20,0x20,0x20,0x00,
+ 0x00,0x00,0x00,0x84,0x84,0x84,0x84,0x84,0x84,0x48,0x30,0x00,
+ 0x00,0x00,0x00,0x88,0x88,0x50,0x50,0x50,0x50,0x50,0x20,0x00,
+ 0x00,0x00,0x00,0x92,0xaa,0xaa,0xaa,0xaa,0xaa,0xaa,0x44,0x00,
+ 0x00,0x00,0x00,0x84,0x48,0x48,0x30,0x30,0x48,0x48,0x84,0x00,
+ 0x00,0x00,0x00,0x88,0x50,0x50,0x20,0x20,0x20,0x20,0x20,0x00,
+ 0x00,0x00,0x00,0xf8,0x08,0x10,0x20,0x20,0x40,0x80,0xf8,0x00,
+ 0x00,0x00,0x00,0xc0,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
+ 0x00,0x00,0x00,0x80,0x80,0x40,0x40,0x40,0x40,0x20,0x20,0x00,
+ 0x00,0x00,0x00,0xc0,0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x40,
+ 0x00,0x00,0x00,0x40,0xa0,0xa0,0xa0,0x00,0x00,0x00,0x00,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xf8,
+ 0x00,0x00,0x00,0x80,0x40,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0xe0,0x10,0x70,0x90,0x90,0x70,0x00,
+ 0x00,0x00,0x00,0x80,0x80,0xa0,0xd0,0x90,0x90,0xd0,0xa0,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x60,0x90,0x80,0x80,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0x10,0x10,0x50,0xb0,0x90,0x90,0xb0,0x50,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x60,0x90,0xf0,0x80,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0xc0,0x80,0xc0,0x80,0x80,0x80,0x80,0x80,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x50,0xb0,0x90,0x90,0xb0,0x50,0x10,
+ 0x00,0x00,0x00,0x80,0x80,0xa0,0xd0,0x90,0x90,0x90,0x90,0x00,
+ 0x00,0x00,0x00,0x80,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x00,
+ 0x00,0x00,0x00,0x80,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
+ 0x00,0x00,0x00,0x80,0x80,0x90,0xa0,0xc0,0xa0,0x90,0x90,0x00,
+ 0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0xa6,0xda,0x92,0x92,0x92,0x92,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0xa0,0xd0,0x90,0x90,0x90,0x90,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x60,0x90,0x90,0x90,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0xa0,0xd0,0x90,0x90,0xd0,0xa0,0x80,
+ 0x00,0x00,0x00,0x00,0x00,0x50,0xb0,0x90,0x90,0xb0,0x50,0x10,
+ 0x00,0x00,0x00,0x00,0x00,0xa0,0xc0,0x80,0x80,0x80,0x80,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0xe0,0x90,0x40,0x20,0x90,0x60,0x00,
+ 0x00,0x00,0x00,0x80,0x80,0xc0,0x80,0x80,0x80,0x80,0xc0,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x90,0x90,0x90,0x90,0xb0,0x50,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x88,0x88,0x50,0x50,0x50,0x20,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x92,0xaa,0xaa,0xaa,0xaa,0x44,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x88,0x50,0x20,0x20,0x50,0x88,0x00,
+ 0x00,0x00,0x00,0x00,0x00,0x88,0x50,0x50,0x50,0x20,0x20,0x20,
+ 0x00,0x00,0x00,0x00,0x00,0xf0,0x10,0x20,0x40,0x80,0xf0,0x00,
+ 0x00,0x00,0x00,0xc0,0x80,0x80,0x80,0x00,0x80,0x80,0x80,0x80,
+ 0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,
+ 0x00,0x00,0x00,0xc0,0x40,0x40,0x40,0x20,0x40,0x40,0x40,0x40,
+ 0x00,0x00,0x00,0x00,0x00,0x00,0xe8,0xb0,0x00,0x00,0x00,0x00,
+ 0x00,0x00,0x00,0x00,0xe0,0xa0,0xa0,0xa0,0xa0,0xa0,0xe0,0x00};
+
+const uint16_t ASCII8x8_Table [] = {
+ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+ 0x40, 0x40, 0x40, 0x40, 0x40, 0x40, 0x00, 0x40,
+ 0xa0, 0xa0, 0xa0, 0x00, 0x00, 0x00, 0x00, 0x00,
+ 0x00, 0x24, 0x24, 0xfe, 0x48, 0xfc, 0x48, 0x48,
+ 0x38, 0x54, 0x50, 0x38, 0x14, 0x14, 0x54, 0x38,
+ 0x44, 0xa8, 0xa8, 0x50, 0x14, 0x1a, 0x2a, 0x24,
+ 0x10, 0x28, 0x28, 0x10, 0x74, 0x4c, 0x4c, 0x30,
+ 0x10, 0x10, 0x10, 0x00, 0x00, 0x00, 0x00, 0x00,
+ 0x08, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10, 0x08,
+ 0x10, 0x08, 0x08, 0x08, 0x08, 0x08, 0x08, 0x10,
+ 0x00, 0x00, 0x24, 0x18, 0x3c, 0x18, 0x24, 0x00,
+ 0x00, 0x00, 0x10, 0x10, 0x7c, 0x10, 0x10, 0x00,
+ 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 0x08, 0x10,
+ 0x00, 0x00, 0x00, 0x00, 0x3c, 0x00, 0x00, 0x00,
+ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x18, 0x18,
+ 0x08, 0x08, 0x08, 0x10, 0x10, 0x20, 0x20, 0x20,
+ 0x18, 0x24, 0x24, 0x24, 0x24, 0x24, 0x24, 0x18,
+ 0x08, 0x18, 0x28, 0x08, 0x08, 0x08, 0x08, 0x08,
+ 0x38, 0x44, 0x00, 0x04, 0x08, 0x10, 0x20, 0x7c,
+ 0x18, 0x24, 0x04, 0x18, 0x04, 0x04, 0x24, 0x18,
+ 0x04, 0x0c, 0x14, 0x24, 0x44, 0x7e, 0x04, 0x04,
+ 0x3c, 0x20, 0x20, 0x38, 0x04, 0x04, 0x24, 0x18,
+ 0x18, 0x24, 0x20, 0x38, 0x24, 0x24, 0x24, 0x18,
+ 0x3c, 0x04, 0x08, 0x08, 0x08, 0x10, 0x10, 0x10,
+ 0x18, 0x24, 0x24, 0x18, 0x24, 0x24, 0x24, 0x18,
+ 0x18, 0x24, 0x24, 0x24, 0x1c, 0x04, 0x24, 0x18,
+ 0x00, 0x00, 0x10, 0x00, 0x00, 0x10, 0x00, 0x00,
+ 0x00, 0x00, 0x08, 0x00, 0x00, 0x08, 0x10, 0x00,
+ 0x00, 0x00, 0x04, 0x18, 0x20, 0x18, 0x04, 0x00,
+ 0x00, 0x00, 0x00, 0x3c, 0x00, 0x3c, 0x00, 0x00,
+ 0x00, 0x00, 0x20, 0x18, 0x04, 0x18, 0x20, 0x00,
+ 0x18, 0x24, 0x04, 0x08, 0x10, 0x10, 0x00, 0x10,
+ 0x3c, 0x42, 0x99, 0xa5, 0xa5, 0x9d, 0x42, 0x38,
+ 0x38, 0x44, 0x44, 0x44, 0x7c, 0x44, 0x44, 0x44,
+ 0x78, 0x44, 0x44, 0x78, 0x44, 0x44, 0x44, 0x78,
+ 0x1c, 0x22, 0x42, 0x40, 0x40, 0x42, 0x22, 0x1c,
+ 0x70, 0x48, 0x44, 0x44, 0x44, 0x44, 0x48, 0x70,
+ 0x7c, 0x40, 0x40, 0x7c, 0x40, 0x40, 0x40, 0x7c,
+ 0x3c, 0x20, 0x20, 0x38, 0x20, 0x20, 0x20, 0x20,
+ 0x1c, 0x22, 0x42, 0x40, 0x4e, 0x42, 0x22, 0x1c,
+ 0x44, 0x44, 0x44, 0x7c, 0x44, 0x44, 0x44, 0x44,
+ 0x10, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10,
+ 0x04, 0x04, 0x04, 0x04, 0x04, 0x24, 0x24, 0x18,
+ 0x44, 0x48, 0x50, 0x70, 0x50, 0x48, 0x48, 0x44,
+ 0x20, 0x20, 0x20, 0x20, 0x20, 0x20, 0x20, 0x3c,
+ 0x82, 0xc6, 0xc6, 0xaa, 0xaa, 0xaa, 0xaa, 0x92,
+ 0x42, 0x62, 0x52, 0x52, 0x4a, 0x4a, 0x46, 0x42,
+ 0x18, 0x24, 0x42, 0x42, 0x42, 0x42, 0x24, 0x18,
+ 0x78, 0x44, 0x44, 0x44, 0x78, 0x40, 0x40, 0x40,
+ 0x18, 0x24, 0x42, 0x42, 0x42, 0x42, 0x2c, 0x1a,
+ 0x78, 0x44, 0x44, 0x78, 0x50, 0x48, 0x44, 0x42,
+ 0x38, 0x44, 0x40, 0x38, 0x04, 0x44, 0x44, 0x38,
+ 0x7c, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10,
+ 0x42, 0x42, 0x42, 0x42, 0x42, 0x42, 0x24, 0x18,
+ 0x44, 0x44, 0x28, 0x28, 0x28, 0x28, 0x28, 0x10,
+ 0x92, 0xaa, 0xaa, 0xaa, 0xaa, 0xaa, 0xaa, 0x44,
+ 0x42, 0x24, 0x24, 0x18, 0x18, 0x24, 0x24, 0x42,
+ 0x44, 0x28, 0x28, 0x10, 0x10, 0x10, 0x10, 0x10,
+ 0x7c, 0x04, 0x08, 0x10, 0x10, 0x20, 0x40, 0x7c,
+ 0x1c, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10, 0x1c,
+ 0x10, 0x10, 0x08, 0x08, 0x08, 0x08, 0x04, 0x04,
+ 0x1c, 0x04, 0x04, 0x04, 0x04, 0x04, 0x04, 0x1c,
+ 0x10, 0x28, 0x44, 0x00, 0x00, 0x00, 0x00, 0x00,
+ 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00,
+ 0x20, 0x10, 0x10, 0x00, 0x00, 0x00, 0x00, 0x00,
+ 0x00, 0x00, 0x18, 0x04, 0x1c, 0x24, 0x24, 0x1c,
+ 0x20, 0x20, 0x28, 0x34, 0x24, 0x24, 0x34, 0x28,
+ 0x00, 0x00, 0x18, 0x24, 0x20, 0x20, 0x24, 0x18,
+ 0x04, 0x04, 0x14, 0x2c, 0x24, 0x24, 0x2c, 0x14,
+ 0x00, 0x00, 0x18, 0x24, 0x3c, 0x20, 0x24, 0x18,
+ 0x00, 0x18, 0x10, 0x10, 0x18, 0x10, 0x10, 0x10,
+ 0x00, 0x18, 0x24, 0x24, 0x18, 0x04, 0x24, 0x18,
+ 0x20, 0x20, 0x28, 0x34, 0x24, 0x24, 0x24, 0x24,
+ 0x10, 0x00, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10,
+ 0x08, 0x00, 0x08, 0x08, 0x08, 0x08, 0x28, 0x10,
+ 0x20, 0x20, 0x24, 0x28, 0x30, 0x28, 0x24, 0x24,
+ 0x10, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10,
+ 0x00, 0x00, 0xa6, 0xda, 0x92, 0x92, 0x92, 0x92,
+ 0x00, 0x00, 0x28, 0x34, 0x24, 0x24, 0x24, 0x24,
+ 0x00, 0x00, 0x18, 0x24, 0x24, 0x24, 0x24, 0x18,
+ 0x00, 0x28, 0x34, 0x24, 0x38, 0x20, 0x20, 0x20,
+ 0x00, 0x14, 0x2c, 0x24, 0x1c, 0x04, 0x04, 0x04,
+ 0x00, 0x00, 0x2c, 0x30, 0x20, 0x20, 0x20, 0x20,
+ 0x00, 0x00, 0x18, 0x24, 0x10, 0x08, 0x24, 0x18,
+ 0x00, 0x10, 0x38, 0x10, 0x10, 0x10, 0x10, 0x18,
+ 0x00, 0x00, 0x24, 0x24, 0x24, 0x24, 0x2c, 0x14,
+ 0x00, 0x00, 0x44, 0x44, 0x28, 0x28, 0x28, 0x10,
+ 0x00, 0x00, 0x92, 0xaa, 0xaa, 0xaa, 0xaa, 0x44,
+ 0x00, 0x00, 0x44, 0x28, 0x10, 0x10, 0x28, 0x44,
+ 0x00, 0x28, 0x28, 0x28, 0x10, 0x10, 0x10, 0x10,
+ 0x00, 0x00, 0x3c, 0x04, 0x08, 0x10, 0x20, 0x3c,
+ 0x00, 0x08, 0x10, 0x10, 0x20, 0x10, 0x10, 0x08,
+ 0x10, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10, 0x10,
+ 0x00, 0x10, 0x08, 0x08, 0x04, 0x08, 0x08, 0x10,
+ 0x00, 0x00, 0x00, 0x60, 0x92, 0x0c, 0x00, 0x00,
+ 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff};
+
+
+sFONT Font16x24 = {
+ ASCII16x24_Table,
+ 16, /* Width */
+ 24, /* Height */
+};
+
+sFONT Font12x12 = {
+ ASCII12x12_Table,
+ 12, /* Width */
+ 12, /* Height */
+};
+
+sFONT Font8x12 = {
+ ASCII8x12_Table,
+ 8, /* Width */
+ 12, /* Height */
+};
+
+
+sFONT Font8x8 = {
+ ASCII8x8_Table,
+ 8, /* Width */
+ 8, /* Height */
+};
+
+/**
+ * @}
+ */
+
+
+/** @defgroup FONTS_Private_Function_Prototypes
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup FONTS_Private_Functions
+ * @{
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/fonts.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/fonts.h
new file mode 100644
index 0000000..f6ca7ce
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/fonts.h
@@ -0,0 +1,118 @@
+/**
+ ******************************************************************************
+ * @file fonts.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief Header for fonts.c
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __FONTS_H
+#define __FONTS_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include <stdint.h>
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup Common
+ * @{
+ */
+
+/** @addtogroup FONTS
+ * @{
+ */
+
+/** @defgroup FONTS_Exported_Types
+ * @{
+ */
+typedef struct _tFont
+{
+ const uint16_t *table;
+ uint16_t Width;
+ uint16_t Height;
+
+} sFONT;
+
+extern sFONT Font16x24;
+extern sFONT Font12x12;
+extern sFONT Font8x12;
+extern sFONT Font8x8;
+
+/**
+ * @}
+ */
+
+/** @defgroup FONTS_Exported_Constants
+ * @{
+ */
+#define LINE(x) ((x) * (((sFONT *)LCD_GetFont())->Height))
+
+/**
+ * @}
+ */
+
+/** @defgroup FONTS_Exported_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup FONTS_Exported_Functions
+ * @{
+ */
+/**
+ * @}
+ */
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __FONTS_H */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/stm32_eval_i2c_ee.c b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/stm32_eval_i2c_ee.c
new file mode 100644
index 0000000..027653d
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/stm32_eval_i2c_ee.c
@@ -0,0 +1,854 @@
+/**
+ ******************************************************************************
+ * @file stm32_eval_i2c_ee.c
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file provides a set of functions needed to manage the I2C M24CXX
+ * EEPROM memory mounted on STM32xx-EVAL board (refer to stm32_eval.h
+ * to know about the boards supporting this memory).
+ *
+ * ===================================================================
+ * Note: This driver is intended for STM32F10x families devices only.
+ * ===================================================================
+ *
+ * It implements a high level communication layer for read and write
+ * from/to this memory. The needed STM32 hardware resources (I2C and
+ * GPIO) are defined in stm32xx_eval.h file, and the initialization is
+ * performed in sEE_LowLevel_Init() function declared in stm32xx_eval.c
+ * file.
+ * You can easily tailor this driver to any other development board,
+ * by just adapting the defines for hardware resources and
+ * sEE_LowLevel_Init() function.
+ *
+ * @note In this driver, basic read and write functions (sEE_ReadBuffer()
+ * and sEE_WritePage()) use the DMA to perform the data transfer
+ * to/from EEPROM memory (except when number of requested data is
+ * equal to 1). Thus, after calling these two functions, user
+ * application may perform other tasks while DMA is transferring
+ * data. The application should then monitor the variable holding
+ * the number of data in order to determine when the transfer is
+ * completed (variable decremented to 0). Stopping transfer tasks
+ * are performed into DMA interrupt handlers (which are integrated
+ * into this driver).
+ *
+ * +-----------------------------------------------------------------+
+ * | Pin assignment |
+ * +---------------------------------------+-----------+-------------+
+ * | STM32 I2C Pins | sEE | Pin |
+ * +---------------------------------------+-----------+-------------+
+ * | . | E0(GND) | 1 (0V) |
+ * | . | E1(GND) | 2 (0V) |
+ * | . | E2(GND) | 3 (0V) |
+ * | . | E0(VSS) | 4 (0V) |
+ * | sEE_I2C_SDA_PIN/ SDA | SDA | 5 |
+ * | sEE_I2C_SCL_PIN/ SCL | SCL | 6 |
+ * | . | /WC(VDD)| 7 (3.3V) |
+ * | . | VDD | 8 (3.3V) |
+ * +---------------------------------------+-----------+-------------+
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32_eval_i2c_ee.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup Common
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL_I2C_EE
+ * @brief This file includes the I2C EEPROM driver of STM32-EVAL boards.
+ * @{
+ */
+
+/** @defgroup STM32_EVAL_I2C_EE_Private_Types
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_I2C_EE_Private_Defines
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_I2C_EE_Private_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_I2C_EE_Private_Variables
+ * @{
+ */
+__IO uint16_t sEEAddress = 0;
+__IO uint32_t sEETimeout = sEE_LONG_TIMEOUT;
+__IO uint16_t* sEEDataReadPointer;
+__IO uint8_t* sEEDataWritePointer;
+__IO uint8_t sEEDataNum;
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_I2C_EE_Private_Function_Prototypes
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_I2C_EE_Private_Functions
+ * @{
+ */
+
+/**
+ * @brief DeInitializes peripherals used by the I2C EEPROM driver.
+ * @param None
+ * @retval None
+ */
+void sEE_DeInit(void)
+{
+ sEE_LowLevel_DeInit();
+}
+
+/**
+ * @brief Initializes peripherals used by the I2C EEPROM driver.
+ * @param None
+ * @retval None
+ */
+void sEE_Init(void)
+{
+ I2C_InitTypeDef I2C_InitStructure;
+
+ sEE_LowLevel_Init();
+
+ /*!< I2C configuration */
+ /* sEE_I2C configuration */
+ I2C_InitStructure.I2C_Mode = I2C_Mode_I2C;
+ I2C_InitStructure.I2C_DutyCycle = I2C_DutyCycle_2;
+ I2C_InitStructure.I2C_OwnAddress1 = I2C_SLAVE_ADDRESS7;
+ I2C_InitStructure.I2C_Ack = I2C_Ack_Enable;
+ I2C_InitStructure.I2C_AcknowledgedAddress = I2C_AcknowledgedAddress_7bit;
+ I2C_InitStructure.I2C_ClockSpeed = I2C_SPEED;
+
+ /* sEE_I2C Peripheral Enable */
+ I2C_Cmd(sEE_I2C, ENABLE);
+ /* Apply sEE_I2C configuration after enabling it */
+ I2C_Init(sEE_I2C, &I2C_InitStructure);
+
+ /* Enable the sEE_I2C peripheral DMA requests */
+ I2C_DMACmd(sEE_I2C, ENABLE);
+
+#if defined (sEE_M24C64_32)
+ /*!< Select the EEPROM address according to the state of E0, E1, E2 pins */
+ sEEAddress = sEE_HW_ADDRESS;
+#elif defined (sEE_M24C08)
+ /*!< depending on the sEE Address selected in the i2c_ee.h file */
+ #ifdef sEE_Block0_ADDRESS
+ /*!< Select the sEE Block0 to write on */
+ sEEAddress = sEE_Block0_ADDRESS;
+ #endif
+
+ #ifdef sEE_Block1_ADDRESS
+ /*!< Select the sEE Block1 to write on */
+ sEEAddress = sEE_Block1_ADDRESS;
+ #endif
+
+ #ifdef sEE_Block2_ADDRESS
+ /*!< Select the sEE Block2 to write on */
+ sEEAddress = sEE_Block2_ADDRESS;
+ #endif
+
+ #ifdef sEE_Block3_ADDRESS
+ /*!< Select the sEE Block3 to write on */
+ sEEAddress = sEE_Block3_ADDRESS;
+ #endif
+#endif /*!< sEE_M24C64_32 */
+}
+
+/**
+ * @brief Reads a block of data from the EEPROM.
+ * @param pBuffer : pointer to the buffer that receives the data read from
+ * the EEPROM.
+ * @param ReadAddr : EEPROM's internal address to start reading from.
+ * @param NumByteToRead : pointer to the variable holding number of bytes to
+ * be read from the EEPROM.
+ *
+ * @note The variable pointed by NumByteToRead is reset to 0 when all the
+ * data are read from the EEPROM. Application should monitor this
+ * variable in order know when the transfer is complete.
+ *
+ * @note When number of data to be read is higher than 1, this function just
+ * configures the communication and enable the DMA channel to transfer data.
+ * Meanwhile, the user application may perform other tasks.
+ * When number of data to be read is 1, then the DMA is not used. The byte
+ * is read in polling mode.
+ *
+ * @retval sEE_OK (0) if operation is correctly performed, else return value
+ * different from sEE_OK (0) or the timeout user callback.
+ */
+uint32_t sEE_ReadBuffer(uint8_t* pBuffer, uint16_t ReadAddr, uint16_t* NumByteToRead)
+{
+ /* Set the pointer to the Number of data to be read. This pointer will be used
+ by the DMA Transfer Completer interrupt Handler in order to reset the
+ variable to 0. User should check on this variable in order to know if the
+ DMA transfer has been complete or not. */
+ sEEDataReadPointer = NumByteToRead;
+
+ /*!< While the bus is busy */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while(I2C_GetFlagStatus(sEE_I2C, I2C_FLAG_BUSY))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send START condition */
+ I2C_GenerateSTART(sEE_I2C, ENABLE);
+
+ /*!< Test on EV5 and clear it (cleared by reading SR1 then writing to DR) */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_MODE_SELECT))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send EEPROM address for write */
+ I2C_Send7bitAddress(sEE_I2C, sEEAddress, I2C_Direction_Transmitter);
+
+ /*!< Test on EV6 and clear it */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+#ifdef sEE_M24C08
+
+ /*!< Send the EEPROM's internal address to read from: Only one byte address */
+ I2C_SendData(sEE_I2C, ReadAddr);
+
+#elif defined (sEE_M24C64_32)
+
+ /*!< Send the EEPROM's internal address to read from: MSB of the address first */
+ I2C_SendData(sEE_I2C, (uint8_t)((ReadAddr & 0xFF00) >> 8));
+
+ /*!< Test on EV8 and clear it */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_BYTE_TRANSMITTED))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send the EEPROM's internal address to read from: LSB of the address */
+ I2C_SendData(sEE_I2C, (uint8_t)(ReadAddr & 0x00FF));
+
+#endif /*!< sEE_M24C08 */
+
+ /*!< Test on EV8 and clear it */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(I2C_GetFlagStatus(sEE_I2C, I2C_FLAG_BTF) == RESET)
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send STRAT condition a second time */
+ I2C_GenerateSTART(sEE_I2C, ENABLE);
+
+ /*!< Test on EV5 and clear it (cleared by reading SR1 then writing to DR) */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_MODE_SELECT))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send EEPROM address for read */
+ I2C_Send7bitAddress(sEE_I2C, sEEAddress, I2C_Direction_Receiver);
+
+ /* If number of data to be read is 1, then DMA couldn't be used */
+ /* One Byte Master Reception procedure (POLLING) ---------------------------*/
+ if ((uint16_t)(*NumByteToRead) < 2)
+ {
+ /* Wait on ADDR flag to be set (ADDR is still not cleared at this level */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(I2C_GetFlagStatus(sEE_I2C, I2C_FLAG_ADDR) == RESET)
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Disable Acknowledgement */
+ I2C_AcknowledgeConfig(sEE_I2C, DISABLE);
+
+ /* Call User callback for critical section start (should typically disable interrupts) */
+ sEE_EnterCriticalSection_UserCallback();
+
+ /* Clear ADDR register by reading SR1 then SR2 register (SR1 has already been read) */
+ (void)sEE_I2C->SR2;
+
+ /*!< Send STOP Condition */
+ I2C_GenerateSTOP(sEE_I2C, ENABLE);
+
+ /* Call User callback for critical section end (should typically re-enable interrupts) */
+ sEE_ExitCriticalSection_UserCallback();
+
+ /* Wait for the byte to be received */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(I2C_GetFlagStatus(sEE_I2C, I2C_FLAG_RXNE) == RESET)
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Read the byte received from the EEPROM */
+ *pBuffer = I2C_ReceiveData(sEE_I2C);
+
+ /*!< Decrement the read bytes counter */
+ (uint16_t)(*NumByteToRead)--;
+
+ /* Wait to make sure that STOP control bit has been cleared */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(sEE_I2C->CR1 & I2C_CR1_STOP)
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Re-Enable Acknowledgement to be ready for another reception */
+ I2C_AcknowledgeConfig(sEE_I2C, ENABLE);
+ }
+ else/* More than one Byte Master Reception procedure (DMA) -----------------*/
+ {
+ /*!< Test on EV6 and clear it */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_RECEIVER_MODE_SELECTED))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /* Configure the DMA Rx Channel with the buffer address and the buffer size */
+ sEE_LowLevel_DMAConfig((uint32_t)pBuffer, (uint16_t)(*NumByteToRead), sEE_DIRECTION_RX);
+
+ /* Inform the DMA that the next End Of Transfer Signal will be the last one */
+ I2C_DMALastTransferCmd(sEE_I2C, ENABLE);
+
+ /* Enable the DMA Rx Channel */
+ DMA_Cmd(sEE_I2C_DMA_CHANNEL_RX, ENABLE);
+ }
+
+ /* If all operations OK, return sEE_OK (0) */
+ return sEE_OK;
+}
+
+/**
+ * @brief Writes more than one byte to the EEPROM with a single WRITE cycle.
+ *
+ * @note The number of bytes (combined to write start address) must not
+ * cross the EEPROM page boundary. This function can only write into
+ * the boundaries of an EEPROM page.
+ * This function doesn't check on boundaries condition (in this driver
+ * the function sEE_WriteBuffer() which calls sEE_WritePage() is
+ * responsible of checking on Page boundaries).
+ *
+ * @param pBuffer : pointer to the buffer containing the data to be written to
+ * the EEPROM.
+ * @param WriteAddr : EEPROM's internal address to write to.
+ * @param NumByteToWrite : pointer to the variable holding number of bytes to
+ * be written into the EEPROM.
+ *
+ * @note The variable pointed by NumByteToWrite is reset to 0 when all the
+ * data are written to the EEPROM. Application should monitor this
+ * variable in order know when the transfer is complete.
+ *
+ * @note This function just configure the communication and enable the DMA
+ * channel to transfer data. Meanwhile, the user application may perform
+ * other tasks in parallel.
+ *
+ * @retval sEE_OK (0) if operation is correctly performed, else return value
+ * different from sEE_OK (0) or the timeout user callback.
+ */
+uint32_t sEE_WritePage(uint8_t* pBuffer, uint16_t WriteAddr, uint8_t* NumByteToWrite)
+{
+ /* Set the pointer to the Number of data to be written. This pointer will be used
+ by the DMA Transfer Completer interrupt Handler in order to reset the
+ variable to 0. User should check on this variable in order to know if the
+ DMA transfer has been complete or not. */
+ sEEDataWritePointer = NumByteToWrite;
+
+ /*!< While the bus is busy */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while(I2C_GetFlagStatus(sEE_I2C, I2C_FLAG_BUSY))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send START condition */
+ I2C_GenerateSTART(sEE_I2C, ENABLE);
+
+ /*!< Test on EV5 and clear it */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_MODE_SELECT))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send EEPROM address for write */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ I2C_Send7bitAddress(sEE_I2C, sEEAddress, I2C_Direction_Transmitter);
+
+ /*!< Test on EV6 and clear it */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_TRANSMITTER_MODE_SELECTED))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+#ifdef sEE_M24C08
+
+ /*!< Send the EEPROM's internal address to write to : only one byte Address */
+ I2C_SendData(sEE_I2C, WriteAddr);
+
+#elif defined(sEE_M24C64_32)
+
+ /*!< Send the EEPROM's internal address to write to : MSB of the address first */
+ I2C_SendData(sEE_I2C, (uint8_t)((WriteAddr & 0xFF00) >> 8));
+
+ /*!< Test on EV8 and clear it */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_BYTE_TRANSMITTED))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send the EEPROM's internal address to write to : LSB of the address */
+ I2C_SendData(sEE_I2C, (uint8_t)(WriteAddr & 0x00FF));
+
+#endif /*!< sEE_M24C08 */
+
+ /*!< Test on EV8 and clear it */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_BYTE_TRANSMITTED))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /* Configure the DMA Tx Channel with the buffer address and the buffer size */
+ sEE_LowLevel_DMAConfig((uint32_t)pBuffer, (uint8_t)(*NumByteToWrite), sEE_DIRECTION_TX);
+
+ /* Enable the DMA Tx Channel */
+ DMA_Cmd(sEE_I2C_DMA_CHANNEL_TX, ENABLE);
+
+ /* If all operations OK, return sEE_OK (0) */
+ return sEE_OK;
+}
+
+/**
+ * @brief Writes buffer of data to the I2C EEPROM.
+ * @param pBuffer : pointer to the buffer containing the data to be written
+ * to the EEPROM.
+ * @param WriteAddr : EEPROM's internal address to write to.
+ * @param NumByteToWrite : number of bytes to write to the EEPROM.
+ * @retval None
+ */
+void sEE_WriteBuffer(uint8_t* pBuffer, uint16_t WriteAddr, uint16_t NumByteToWrite)
+{
+ uint8_t NumOfPage = 0, NumOfSingle = 0, count = 0;
+ uint16_t Addr = 0;
+
+ Addr = WriteAddr % sEE_PAGESIZE;
+ count = sEE_PAGESIZE - Addr;
+ NumOfPage = NumByteToWrite / sEE_PAGESIZE;
+ NumOfSingle = NumByteToWrite % sEE_PAGESIZE;
+
+ /*!< If WriteAddr is sEE_PAGESIZE aligned */
+ if(Addr == 0)
+ {
+ /*!< If NumByteToWrite < sEE_PAGESIZE */
+ if(NumOfPage == 0)
+ {
+ /* Store the number of data to be written */
+ sEEDataNum = NumOfSingle;
+ /* Start writing data */
+ sEE_WritePage(pBuffer, WriteAddr, (uint8_t*)(&sEEDataNum));
+ /* Wait transfer through DMA to be complete */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while (sEEDataNum > 0)
+ {
+ if((sEETimeout--) == 0) {sEE_TIMEOUT_UserCallback(); return;};
+ }
+ sEE_WaitEepromStandbyState();
+ }
+ /*!< If NumByteToWrite > sEE_PAGESIZE */
+ else
+ {
+ while(NumOfPage--)
+ {
+ /* Store the number of data to be written */
+ sEEDataNum = sEE_PAGESIZE;
+ sEE_WritePage(pBuffer, WriteAddr, (uint8_t*)(&sEEDataNum));
+ /* Wait transfer through DMA to be complete */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while (sEEDataNum > 0)
+ {
+ if((sEETimeout--) == 0) {sEE_TIMEOUT_UserCallback(); return;};
+ }
+ sEE_WaitEepromStandbyState();
+ WriteAddr += sEE_PAGESIZE;
+ pBuffer += sEE_PAGESIZE;
+ }
+
+ if(NumOfSingle!=0)
+ {
+ /* Store the number of data to be written */
+ sEEDataNum = NumOfSingle;
+ sEE_WritePage(pBuffer, WriteAddr, (uint8_t*)(&sEEDataNum));
+ /* Wait transfer through DMA to be complete */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while (sEEDataNum > 0)
+ {
+ if((sEETimeout--) == 0) {sEE_TIMEOUT_UserCallback(); return;};
+ }
+ sEE_WaitEepromStandbyState();
+ }
+ }
+ }
+ /*!< If WriteAddr is not sEE_PAGESIZE aligned */
+ else
+ {
+ /*!< If NumByteToWrite < sEE_PAGESIZE */
+ if(NumOfPage== 0)
+ {
+ /*!< If the number of data to be written is more than the remaining space
+ in the current page: */
+ if (NumByteToWrite > count)
+ {
+ /* Store the number of data to be written */
+ sEEDataNum = count;
+ /*!< Write the data conained in same page */
+ sEE_WritePage(pBuffer, WriteAddr, (uint8_t*)(&sEEDataNum));
+ /* Wait transfer through DMA to be complete */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while (sEEDataNum > 0)
+ {
+ if((sEETimeout--) == 0) {sEE_TIMEOUT_UserCallback(); return;};
+ }
+ sEE_WaitEepromStandbyState();
+
+ /* Store the number of data to be written */
+ sEEDataNum = (NumByteToWrite - count);
+ /*!< Write the remaining data in the following page */
+ sEE_WritePage((uint8_t*)(pBuffer + count), (WriteAddr + count), (uint8_t*)(&sEEDataNum));
+ /* Wait transfer through DMA to be complete */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while (sEEDataNum > 0)
+ {
+ if((sEETimeout--) == 0) {sEE_TIMEOUT_UserCallback(); return;};
+ }
+ sEE_WaitEepromStandbyState();
+ }
+ else
+ {
+ /* Store the number of data to be written */
+ sEEDataNum = NumOfSingle;
+ sEE_WritePage(pBuffer, WriteAddr, (uint8_t*)(&sEEDataNum));
+ /* Wait transfer through DMA to be complete */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while (sEEDataNum > 0)
+ {
+ if((sEETimeout--) == 0) {sEE_TIMEOUT_UserCallback(); return;};
+ }
+ sEE_WaitEepromStandbyState();
+ }
+ }
+ /*!< If NumByteToWrite > sEE_PAGESIZE */
+ else
+ {
+ NumByteToWrite -= count;
+ NumOfPage = NumByteToWrite / sEE_PAGESIZE;
+ NumOfSingle = NumByteToWrite % sEE_PAGESIZE;
+
+ if(count != 0)
+ {
+ /* Store the number of data to be written */
+ sEEDataNum = count;
+ sEE_WritePage(pBuffer, WriteAddr, (uint8_t*)(&sEEDataNum));
+ /* Wait transfer through DMA to be complete */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while (sEEDataNum > 0)
+ {
+ if((sEETimeout--) == 0) {sEE_TIMEOUT_UserCallback(); return;};
+ }
+ sEE_WaitEepromStandbyState();
+ WriteAddr += count;
+ pBuffer += count;
+ }
+
+ while(NumOfPage--)
+ {
+ /* Store the number of data to be written */
+ sEEDataNum = sEE_PAGESIZE;
+ sEE_WritePage(pBuffer, WriteAddr, (uint8_t*)(&sEEDataNum));
+ /* Wait transfer through DMA to be complete */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while (sEEDataNum > 0)
+ {
+ if((sEETimeout--) == 0) {sEE_TIMEOUT_UserCallback(); return;};
+ }
+ sEE_WaitEepromStandbyState();
+ WriteAddr += sEE_PAGESIZE;
+ pBuffer += sEE_PAGESIZE;
+ }
+ if(NumOfSingle != 0)
+ {
+ /* Store the number of data to be written */
+ sEEDataNum = NumOfSingle;
+ sEE_WritePage(pBuffer, WriteAddr, (uint8_t*)(&sEEDataNum));
+ /* Wait transfer through DMA to be complete */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while (sEEDataNum > 0)
+ {
+ if((sEETimeout--) == 0) {sEE_TIMEOUT_UserCallback(); return;};
+ }
+ sEE_WaitEepromStandbyState();
+ }
+ }
+ }
+}
+
+/**
+ * @brief Wait for EEPROM Standby state.
+ *
+ * @note This function allows to wait and check that EEPROM has finished the
+ * last Write operation. It is mostly used after Write operation: after
+ * receiving the buffer to be written, the EEPROM may need additional
+ * time to actually perform the write operation. During this time, it
+ * doesn't answer to I2C packets addressed to it. Once the write operation
+ * is complete the EEPROM responds to its address.
+ *
+ * @note It is not necessary to call this function after sEE_WriteBuffer()
+ * function (sEE_WriteBuffer() already calls this function after each
+ * write page operation).
+ *
+ * @param None
+ * @retval sEE_OK (0) if operation is correctly performed, else return value
+ * different from sEE_OK (0) or the timeout user callback.
+ */
+uint32_t sEE_WaitEepromStandbyState(void)
+{
+ __IO uint16_t tmpSR1 = 0;
+ __IO uint32_t sEETrials = 0;
+
+ /*!< While the bus is busy */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while(I2C_GetFlagStatus(sEE_I2C, I2C_FLAG_BUSY))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /* Keep looping till the slave acknowledge his address or maximum number
+ of trials is reached (this number is defined by sEE_MAX_TRIALS_NUMBER define
+ in stm32_eval_i2c_ee.h file) */
+ while (1)
+ {
+ /*!< Send START condition */
+ I2C_GenerateSTART(sEE_I2C, ENABLE);
+
+ /*!< Test on EV5 and clear it */
+ sEETimeout = sEE_FLAG_TIMEOUT;
+ while(!I2C_CheckEvent(sEE_I2C, I2C_EVENT_MASTER_MODE_SELECT))
+ {
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send EEPROM address for write */
+ I2C_Send7bitAddress(sEE_I2C, sEEAddress, I2C_Direction_Transmitter);
+
+ /* Wait for ADDR flag to be set (Slave acknowledged his address) */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ do
+ {
+ /* Get the current value of the SR1 register */
+ tmpSR1 = sEE_I2C->SR1;
+
+ /* Update the timeout value and exit if it reach 0 */
+ if((sEETimeout--) == 0) return sEE_TIMEOUT_UserCallback();
+ }
+ /* Keep looping till the Address is acknowledged or the AF flag is
+ set (address not acknowledged at time) */
+ while((tmpSR1 & (I2C_SR1_ADDR | I2C_SR1_AF)) == 0);
+
+ /* Check if the ADDR flag has been set */
+ if (tmpSR1 & I2C_SR1_ADDR)
+ {
+ /* Clear ADDR Flag by reading SR1 then SR2 registers (SR1 have already
+ been read) */
+ (void)sEE_I2C->SR2;
+
+ /*!< STOP condition */
+ I2C_GenerateSTOP(sEE_I2C, ENABLE);
+
+ /* Exit the function */
+ return sEE_OK;
+ }
+ else
+ {
+ /*!< Clear AF flag */
+ I2C_ClearFlag(sEE_I2C, I2C_FLAG_AF);
+ }
+
+ /* Check if the maximum allowed numbe of trials has bee reached */
+ if (sEETrials++ == sEE_MAX_TRIALS_NUMBER)
+ {
+ /* If the maximum number of trials has been reached, exit the function */
+ return sEE_TIMEOUT_UserCallback();
+ }
+ }
+}
+
+/**
+ * @brief This function handles the DMA Tx Channel interrupt Handler.
+ * @param None
+ * @retval None
+ */
+void sEE_I2C_DMA_TX_IRQHandler(void)
+{
+ /* Check if the DMA transfer is complete */
+ if(DMA_GetFlagStatus(sEE_I2C_DMA_FLAG_TX_TC) != RESET)
+ {
+ /* Disable the DMA Tx Channel and Clear all its Flags */
+ DMA_Cmd(sEE_I2C_DMA_CHANNEL_TX, DISABLE);
+ DMA_ClearFlag(sEE_I2C_DMA_FLAG_TX_GL);
+
+ /*!< Wait till all data have been physically transferred on the bus */
+ sEETimeout = sEE_LONG_TIMEOUT;
+ while(!I2C_GetFlagStatus(sEE_I2C, I2C_FLAG_BTF))
+ {
+ if((sEETimeout--) == 0) sEE_TIMEOUT_UserCallback();
+ }
+
+ /*!< Send STOP condition */
+ I2C_GenerateSTOP(sEE_I2C, ENABLE);
+
+ /* Perform a read on SR1 and SR2 register to clear eventualaly pending flags */
+ (void)sEE_I2C->SR1;
+ (void)sEE_I2C->SR2;
+
+ /* Reset the variable holding the number of data to be written */
+ *sEEDataWritePointer = 0;
+ }
+}
+
+/**
+ * @brief This function handles the DMA Rx Channel interrupt Handler.
+ * @param None
+ * @retval None
+ */
+void sEE_I2C_DMA_RX_IRQHandler(void)
+{
+ /* Check if the DMA transfer is complete */
+ if(DMA_GetFlagStatus(sEE_I2C_DMA_FLAG_RX_TC) != RESET)
+ {
+ /*!< Send STOP Condition */
+ I2C_GenerateSTOP(sEE_I2C, ENABLE);
+
+ /* Disable the DMA Rx Channel and Clear all its Flags */
+ DMA_Cmd(sEE_I2C_DMA_CHANNEL_RX, DISABLE);
+ DMA_ClearFlag(sEE_I2C_DMA_FLAG_RX_GL);
+
+ /* Reset the variable holding the number of data to be read */
+ *sEEDataReadPointer = 0;
+ }
+}
+
+#ifdef USE_DEFAULT_TIMEOUT_CALLBACK
+/**
+ * @brief Basic management of the timeout situation.
+ * @param None.
+ * @retval None.
+ */
+uint32_t sEE_TIMEOUT_UserCallback(void)
+{
+ /* Block communication and all processes */
+ while (1)
+ {
+ }
+}
+#endif /* USE_DEFAULT_TIMEOUT_CALLBACK */
+
+#ifdef USE_DEFAULT_CRITICAL_CALLBACK
+/**
+ * @brief Start critical section: these callbacks should be typically used
+ * to disable interrupts when entering a critical section of I2C communication
+ * You may use default callbacks provided into this driver by uncommenting the
+ * define USE_DEFAULT_CRITICAL_CALLBACK.
+ * Or you can comment that line and implement these callbacks into your
+ * application.
+ * @param None.
+ * @retval None.
+ */
+void sEE_EnterCriticalSection_UserCallback(void)
+{
+ __disable_irq();
+}
+
+/**
+ * @brief Start and End of critical section: these callbacks should be typically used
+ * to re-enable interrupts when exiting a critical section of I2C communication
+ * You may use default callbacks provided into this driver by uncommenting the
+ * define USE_DEFAULT_CRITICAL_CALLBACK.
+ * Or you can comment that line and implement these callbacks into your
+ * application.
+ * @param None.
+ * @retval None.
+ */
+void sEE_ExitCriticalSection_UserCallback(void)
+{
+ __enable_irq();
+}
+#endif /* USE_DEFAULT_CRITICAL_CALLBACK */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/stm32_eval_i2c_ee.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/stm32_eval_i2c_ee.h
new file mode 100644
index 0000000..fa00fb7
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Common/stm32_eval_i2c_ee.h
@@ -0,0 +1,201 @@
+/**
+ ******************************************************************************
+ * @file stm32_eval_i2c_ee.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file contains all the functions prototypes for the stm32_eval_i2c_ee
+ * firmware driver.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM32_EVAL_I2C_EE_H
+#define __STM32_EVAL_I2C_EE_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32_eval.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup Common
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL_I2C_EE
+ * @{
+ */
+
+/** @defgroup STM32_EVAL_I2C_EE_Exported_Types
+ * @{
+ */
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32_EVAL_I2C_EE_Exported_Constants
+ * @{
+ */
+
+/* Uncomment this line to use the default start and end of critical section
+ callbacks (it disables then enabled all interrupts) */
+#define USE_DEFAULT_CRITICAL_CALLBACK
+/* Start and End of critical section: these callbacks should be typically used
+ to disable interrupts when entering a critical section of I2C communication
+ You may use default callbacks provided into this driver by uncommenting the
+ define USE_DEFAULT_CRITICAL_CALLBACK.
+ Or you can comment that line and implement these callbacks into your
+ application */
+
+/* Uncomment the following line to use the default sEE_TIMEOUT_UserCallback()
+ function implemented in stm32_evel_i2c_ee.c file.
+ sEE_TIMEOUT_UserCallback() function is called whenever a timeout condition
+ occure during communication (waiting on an event that doesn't occur, bus
+ errors, busy devices ...). */
+/* #define USE_DEFAULT_TIMEOUT_CALLBACK */
+
+#if !defined (sEE_M24C08) && !defined (sEE_M24C64_32)
+/* Use the defines below the choose the EEPROM type */
+/* #define sEE_M24C08*/ /* Support the device: M24C08. */
+/* note: Could support: M24C01, M24C02, M24C04 and M24C16 if the blocks and
+ HW address are correctly defined*/
+#define sEE_M24C64_32 /* Support the devices: M24C32 and M24C64 */
+#endif
+
+#ifdef sEE_M24C64_32
+/* For M24C32 and M24C64 devices, E0,E1 and E2 pins are all used for device
+ address selection (ne need for additional address lines). According to the
+ Harware connection on the board (on STM3210C-EVAL board E0 = E1 = E2 = 0) */
+
+ #define sEE_HW_ADDRESS 0xA0 /* E0 = E1 = E2 = 0 */
+
+#elif defined (sEE_M24C08)
+/* The M24C08W contains 4 blocks (128byte each) with the adresses below: E2 = 0
+ EEPROM Addresses defines */
+ #define sEE_Block0_ADDRESS 0xA0 /* E2 = 0 */
+ /*#define sEE_Block1_ADDRESS 0xA2*/ /* E2 = 0 */
+ /*#define sEE_Block2_ADDRESS 0xA4*/ /* E2 = 0 */
+ /*#define sEE_Block3_ADDRESS 0xA6*/ /* E2 = 0 */
+
+#endif /* sEE_M24C64_32 */
+
+#define I2C_SPEED 300000
+#define I2C_SLAVE_ADDRESS7 0xA0
+
+#if defined (sEE_M24C08)
+ #define sEE_PAGESIZE 16
+#elif defined (sEE_M24C64_32)
+ #define sEE_PAGESIZE 32
+#endif
+
+/* Maximum Timeout values for flags and events waiting loops. These timeouts are
+ not based on accurate values, they just guarantee that the application will
+ not remain stuck if the I2C communication is corrupted.
+ You may modify these timeout values depending on CPU frequency and application
+ conditions (interrupts routines ...). */
+#define sEE_FLAG_TIMEOUT ((uint32_t)0x1000)
+#define sEE_LONG_TIMEOUT ((uint32_t)(10 * sEE_FLAG_TIMEOUT))
+
+/* Maximum number of trials for sEE_WaitEepromStandbyState() function */
+#define sEE_MAX_TRIALS_NUMBER 150
+
+/* Defintions for the state of the DMA transfer */
+#define sEE_STATE_READY 0
+#define sEE_STATE_BUSY 1
+#define sEE_STATE_ERROR 2
+
+#define sEE_OK 0
+#define sEE_FAIL 1
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32_EVAL_I2C_EE_Exported_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32_EVAL_I2C_EE_Exported_Functions
+ * @{
+ */
+void sEE_DeInit(void);
+void sEE_Init(void);
+uint32_t sEE_ReadBuffer(uint8_t* pBuffer, uint16_t ReadAddr, uint16_t* NumByteToRead);
+uint32_t sEE_WritePage(uint8_t* pBuffer, uint16_t WriteAddr, uint8_t* NumByteToWrite);
+void sEE_WriteBuffer(uint8_t* pBuffer, uint16_t WriteAddr, uint16_t NumByteToWrite);
+uint32_t sEE_WaitEepromStandbyState(void);
+
+/* USER Callbacks: These are functions for which prototypes only are declared in
+ EEPROM driver and that should be implemented into user applicaiton. */
+/* sEE_TIMEOUT_UserCallback() function is called whenever a timeout condition
+ occure during communication (waiting on an event that doesn't occur, bus
+ errors, busy devices ...).
+ You can use the default timeout callback implementation by uncommenting the
+ define USE_DEFAULT_TIMEOUT_CALLBACK in stm32_evel_i2c_ee.h file.
+ Typically the user implementation of this callback should reset I2C peripheral
+ and re-initialize communication or in worst case reset all the application. */
+uint32_t sEE_TIMEOUT_UserCallback(void);
+
+/* Start and End of critical section: these callbacks should be typically used
+ to disable interrupts when entering a critical section of I2C communication
+ You may use default callbacks provided into this driver by uncommenting the
+ define USE_DEFAULT_CRITICAL_CALLBACK in stm32_evel_i2c_ee.h file..
+ Or you can comment that line and implement these callbacks into your
+ application */
+void sEE_EnterCriticalSection_UserCallback(void);
+void sEE_ExitCriticalSection_UserCallback(void);
+
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __STM32_EVAL_I2C_EE_H */
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
+
+
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Release_Notes.html b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Release_Notes.html
new file mode 100644
index 0000000..430a05b
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/Release_Notes.html
@@ -0,0 +1,328 @@
+<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01 Transitional//EN">
+<html xmlns:v="urn:schemas-microsoft-com:vml" xmlns:o="urn:schemas-microsoft-com:office:office" xmlns:w="urn:schemas-microsoft-com:office:word" xmlns="http://www.w3.org/TR/REC-html40"><head>
+
+
+
+
+
+
+
+ <meta http-equiv="Content-Type" content="text/html; charset=ISO-8859-1">
+
+
+ <link rel="File-List" href="Library_files/filelist.xml">
+
+
+ <link rel="Edit-Time-Data" href="Library_files/editdata.mso"><!--[if !mso]> <style> v\:* {behavior:url(#default#VML);} o\:* {behavior:url(#default#VML);} w\:* {behavior:url(#default#VML);} .shape {behavior:url(#default#VML);} </style> <![endif]--><title>Release Notes for STM32 Standard Peripherals Library Utilities</title><!--[if gte mso 9]><xml> <o:DocumentProperties> <o:Author>STMicroelectronics</o:Author> <o:LastAuthor>STMicroelectronics</o:LastAuthor> <o:Revision>37</o:Revision> <o:TotalTime>136</o:TotalTime> <o:Created>2009-02-27T19:26:00Z</o:Created> <o:LastSaved>2009-03-01T17:56:00Z</o:LastSaved> <o:Pages>1</o:Pages> <o:Words>522</o:Words> <o:Characters>2977</o:Characters> <o:Company>STMicroelectronics</o:Company> <o:Lines>24</o:Lines> <o:Paragraphs>6</o:Paragraphs> <o:CharactersWithSpaces>3493</o:CharactersWithSpaces> <o:Version>11.6568</o:Version> </o:DocumentProperties> </xml><![endif]--><!--[if gte mso 9]><xml> <w:WordDocument> <w:Zoom>110</w:Zoom> <w:ValidateAgainstSchemas/> <w:SaveIfXMLInvalid>false</w:SaveIfXMLInvalid> <w:IgnoreMixedContent>false</w:IgnoreMixedContent> <w:AlwaysShowPlaceholderText>false</w:AlwaysShowPlaceholderText> <w:BrowserLevel>MicrosoftInternetExplorer4</w:BrowserLevel> </w:WordDocument> </xml><![endif]--><!--[if gte mso 9]><xml> <w:LatentStyles DefLockedState="false" LatentStyleCount="156"> </w:LatentStyles> </xml><![endif]-->
+
+
+
+
+
+
+
+ <style>
+<!--
+/* Style Definitions */
+p.MsoNormal, li.MsoNormal, div.MsoNormal
+{mso-style-parent:"";
+margin:0in;
+margin-bottom:.0001pt;
+mso-pagination:widow-orphan;
+font-size:12.0pt;
+font-family:"Times New Roman";
+mso-fareast-font-family:"Times New Roman";}
+h2
+{mso-style-next:Normal;
+margin-top:12.0pt;
+margin-right:0in;
+margin-bottom:3.0pt;
+margin-left:0in;
+mso-pagination:widow-orphan;
+page-break-after:avoid;
+mso-outline-level:2;
+font-size:14.0pt;
+font-family:Arial;
+font-weight:bold;
+font-style:italic;}
+a:link, span.MsoHyperlink
+{color:blue;
+text-decoration:underline;
+text-underline:single;}
+a:visited, span.MsoHyperlinkFollowed
+{color:blue;
+text-decoration:underline;
+text-underline:single;}
+p
+{mso-margin-top-alt:auto;
+margin-right:0in;
+mso-margin-bottom-alt:auto;
+margin-left:0in;
+mso-pagination:widow-orphan;
+font-size:12.0pt;
+font-family:"Times New Roman";
+mso-fareast-font-family:"Times New Roman";}
+@page Section1
+{size:8.5in 11.0in;
+margin:1.0in 1.25in 1.0in 1.25in;
+mso-header-margin:.5in;
+mso-footer-margin:.5in;
+mso-paper-source:0;}
+div.Section1
+{page:Section1;}
+-->
+ </style><!--[if gte mso 10]> <style> /* Style Definitions */ table.MsoNormalTable {mso-style-name:"Table Normal"; mso-tstyle-rowband-size:0; mso-tstyle-colband-size:0; mso-style-noshow:yes; mso-style-parent:""; mso-padding-alt:0in 5.4pt 0in 5.4pt; mso-para-margin:0in; mso-para-margin-bottom:.0001pt; mso-pagination:widow-orphan; font-size:10.0pt; font-family:"Times New Roman"; mso-ansi-language:#0400; mso-fareast-language:#0400; mso-bidi-language:#0400;} </style> <![endif]--><!--[if gte mso 9]><xml> <o:shapedefaults v:ext="edit" spidmax="5122"/> </xml><![endif]--><!--[if gte mso 9]><xml> <o:shapelayout v:ext="edit"> <o:idmap v:ext="edit" data="1"/> </o:shapelayout></xml><![endif]-->
+ <meta content="MCD Application Team" name="author"></head>
+<body link="blue" vlink="blue">
+<div class="Section1">
+<p class="MsoNormal"><span style="font-family: Arial;"><o:p><br>
+</o:p></span></p>
+<div align="center">
+<table class="MsoNormalTable" style="width: 675pt;" border="0" cellpadding="0" cellspacing="0" width="900">
+ <tbody>
+ <tr>
+ <td style="padding: 0cm;" valign="top">
+ <table class="MsoNormalTable" style="width: 675pt;" border="0" cellpadding="0" cellspacing="0" width="900">
+ <tbody>
+ <tr>
+ <td style="vertical-align: top;">
+ <p class="MsoNormal"><span style="font-size: 8pt; font-family: Arial; color: blue;"><a href="../Release_Notes.html">Back to Release page</a><o:p></o:p></span></p>
+ </td>
+ </tr>
+ <tr style="">
+ <td style="padding: 1.5pt;">
+ <h1 style="margin-bottom: 18pt; text-align: center;" align="center"><span style="font-size: 20pt; font-family: Verdana; color: rgb(51, 102, 255);">Release
+Notes for STM32 Standard Peripherals Library Utilities (Utilities)</span><span style="font-size: 20pt; font-family: Verdana;"><o:p></o:p></span></h1>
+ <p class="MsoNormal" style="text-align: center;" align="center"><span style="font-size: 10pt; font-family: Arial; color: black;">Copyright
+2011 STMicroelectronics</span><span style="color: black;"><u1:p></u1:p><o:p></o:p></span></p>
+ <p class="MsoNormal" style="text-align: center;" align="center"><span style="font-size: 10pt; font-family: Arial; color: black;"><img alt="" id="_x0000_i1025" src="../../_htmresc/logo.bmp" style="border: 0px solid ; width: 86px; height: 65px;"></span><span style="font-size: 10pt;"><o:p></o:p></span></p>
+ </td>
+ </tr>
+ </tbody>
+ </table>
+ <p class="MsoNormal"><span style="font-family: Arial; display: none;"><o:p>&nbsp;</o:p></span></p>
+ <table class="MsoNormalTable" style="width: 675pt;" border="0" cellpadding="0" width="900">
+ <tbody>
+ <tr style="">
+ <td style="padding: 0cm;" valign="top">
+ <h2 style="background: rgb(51, 102, 255) none repeat scroll 0% 50%; -moz-background-clip: initial; -moz-background-origin: initial; -moz-background-inline-policy: initial;"><span style="font-size: 12pt; color: white;">Contents<o:p></o:p></span></h2>
+ <ol style="margin-top: 0cm;" start="1" type="1">
+ <li class="MsoNormal" style="color: black; margin-top: 4.5pt; margin-bottom: 4.5pt;"><span style="font-size: 10pt; font-family: Verdana;"><a href="#History">STM32 Standard Peripherals Library Utilities
+update History</a><o:p></o:p></span></li>
+ <li class="MsoNormal" style="color: black; margin-top: 4.5pt; margin-bottom: 4.5pt;"><span style="font-size: 10pt; font-family: Verdana;"><a href="#License">License</a><o:p></o:p></span></li>
+ </ol>
+ <span style="font-family: &quot;Times New Roman&quot;;">
+ </span>
+ <h2 style="background: rgb(51, 102, 255) none repeat scroll 0% 50%; -moz-background-clip: initial; -moz-background-origin: initial; -moz-background-inline-policy: initial;"><a name="History"></a><span style="font-size: 12pt; color: white;">STM32
+Standard
+Peripherals Library Utilities update History</span></h2><br><h3 style="background: rgb(51, 102, 255) none repeat scroll 0% 50%; -moz-background-clip: initial; -moz-background-origin: initial; -moz-background-inline-policy: initial; margin-right: 500pt; width: 167px;"><span style="font-size: 10pt; font-family: Arial; color: white;">V4.5.0 / 07-March-2011<o:p></o:p></span></h3>
+ <p class="MsoNormal" style="margin: 4.5pt 0cm 4.5pt 18pt;"><b style=""><u><span style="font-size: 10pt; font-family: Verdana; color: black;">Main
+Changes<o:p></o:p></span></u></b></p>
+
+ <ul style="margin-top: 0cm;" type="square"><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32_eval_sdio_sd.c\.h: driver improvement</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">SD Clock increased to 24MHz to improve the data transfer performance.</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Add
+new functions to check the SDIO peripheral and SD Card status at any
+time:&nbsp;SD_WaitReadOperation(), SD_WaitWriteOperation(). The
+software sequence is little bit changed&nbsp;but without any impact on
+driver API. For more details, refer to the stm32_eval_sdio_sd.c
+driver&nbsp;description.</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Add
+new structure containing the SD Status register parameters. This
+structure is called by the
+&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;
+&nbsp;SD_SendSDStatus() function.</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Transfers mode updated</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Read/Write Block using Polling and DMA modes</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Read/Write Multi Blocks using DMA mode only</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Interrupt mode removed</span></li></ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Data transfer functions are managing only fixed Block size (512-byte)&nbsp;</span></li></ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">STM32100B-EVAL</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32100b_eval_cec.c: fix some strict ANSI-C errors</span><span style="font-size: 10pt; font-family: Verdana;"></span></li></ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">STM32100E-EVAL</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32100e_eval_cec.c: fix some strict ANSI-C errors<br></span></li></ul></ul>
+ <h3 style="background: rgb(51, 102, 255) none repeat scroll 0% 50%; -moz-background-clip: initial; -moz-background-origin: initial; -moz-background-inline-policy: initial; margin-right: 500pt; width: 167px;"><span style="font-size: 10pt; font-family: Arial; color: white;">V4.4.0 / 31-December-2010<o:p></o:p></span></h3>
+ <p class="MsoNormal" style="margin: 4.5pt 0cm 4.5pt 18pt;"><b style=""><u><span style="font-size: 10pt; font-family: Verdana; color: black;">Main
+Changes<o:p></o:p></span></u></b></p>
+
+ <ul style="margin-top: 0cm;" type="square">
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Add new directory for STM32L152-EVAL board containing the following files:</span></li>
+ <ul>
+<li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32l152_eval.h/.c, </span><span style="font-size: 10pt; font-family: Verdana;">stm32l152</span><span style="font-size: 10pt; font-family: Verdana;">_eval_lcd.h/.c, stm32l152_eval_glass_lcd.h</span><span style="font-size: 10pt; font-family: Verdana;">/.c, </span><span style="font-size: 10pt; font-family: Verdana;">stm32l152_eval_i2c_ee</span><span style="font-size: 10pt; font-family: Verdana;">.h/.c</span></li>
+ </ul>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Add support for the STM32100E-EVAL Rev B: SPI FLASH CS pin "sFLASH_CS_PIN" changed from PB.02 to PE.06.</span></li>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32100e_eval_lcd.h/.c: Add support for "LCD_ILI9325" LCD controller.</span></li>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32100e_eval_fsmc_onenand.h/.c driver updated to correct asynchronous and synchronous read operations procedures.<br>
+ </span></li>
+ </ul>
+
+ <h3 style="background: rgb(51, 102, 255) none repeat scroll 0% 50%; -moz-background-clip: initial; -moz-background-origin: initial; -moz-background-inline-policy: initial; margin-right: 558.05pt;"><span style="font-size: 10pt; font-family: Arial; color: white;">4.3.0
+- 10/15/2010</span></h3>
+ <ol style="margin-top: 0in;" start="1" type="1">
+ <li class="MsoNormal" style=""><b><i><span style="font-size: 10pt; font-family: Verdana;">General</span></i></b><i><span style="font-size: 10pt; font-family: Verdana;"> </span></i><i><span style="font-size: 10pt;"><o:p></o:p></span></i></li>
+ </ol>
+
+ <ul style="margin-top: 0in;" type="disc">
+
+
+
+
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;">I2C EEPROM,&nbsp;</span><span style="font-size: 10pt; font-family: Verdana;">Temperature Sensor and&nbsp;</span><span style="font-size: 10pt; font-family: Verdana;">IOE Expander</span><span style="font-size: 10pt; font-family: Verdana;"> drivers&nbsp;updated to&nbsp;</span><span style="font-size: 10pt; font-family: Verdana;">use the DMA for read/write transfer and add more robustness</span></li>
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;">SD Card (SDIO) driver updated to&nbsp;</span><span style="font-size: 10pt; font-family: Verdana;">add more robustness</span></li><li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;">SPI Flash and </span><span style="font-size: 10pt; font-family: Verdana;">SD Card (SPI) drivers: SPI MISO pin configuration changed to Input Floating&nbsp;</span></li>
+ </ul>
+
+
+
+ <ol style="margin-top: 0in;" start="2" type="1">
+<li class="MsoNormal" style=""><b><i><span style="font-size: 10pt; font-family: Verdana;">Utilities</span></i></b><b><i><span style="font-size: 10pt;"><o:p></o:p></span></i></b></li>
+ </ol>
+
+
+
+
+
+ <ul style="margin-top: 0in;" type="circle">
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Add new directory for STM32100E-EVAL board containing the following files:</span></li>
+ <ul>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32100e_eval.h/.c,
+stm32100e_eval_lcd.h/.c, stm32100e_eval_cec.h/.c,
+stm32100e_eval_fsmc_onenand.h/.c, stm32100e_eval_fsmc_sram.h/.c,
+stm32100e_eval_ioe.h/.c</span><br>
+<span style="font-size: 10pt; font-family: Verdana;"><span style="font-style: italic;"></span></span></li>
+ </ul>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Common</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32_eval_sdio_sd.c:
+Update the DMA End of Transfer Check loop inside the SD_ReadBlock(),
+SD_WriteBlock(), SD_ReadMultiBlocks() and SD_Write MultiBlocks().</span></li></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;"><span style="font-style: italic;">stm32_eval_i2c_ee.c/.h</span> <br>
+ </span></li></ul>
+ <ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Enhanced sEE_WaitEepromStandbyState() function for more robustness.</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Enhanced Read and Write operations to manage I2C limitations.</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Add Timeout management with user callback implementation which allows recovering from I2C bus errors.</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Add
+critical sections user callbacks allowing to disable then enable
+interrupts when I2C operation require to be not interrupted.</span></li></ul></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;"><span style="font-style: italic;">stm32_eval_i2c_tsensor.c/.h</span> <br>
+ </span></li></ul>
+ <ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Enhanced I2C communication functions by using DMA for registers Read and Write operations.</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Add Timeout management with user callback implementation which allows recovering from I2C bus errors.</span></li></ul></ul>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">STM32100B_EVAL</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32100b_eval.h: Add LM75 DMA defines.</span></li></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm32100b_eval_lcd.c: </span><span style="font-size: 10pt; font-family: Verdana;">Change "SPI_FLASH" by "sFLASH" in LCD_DrawBMP() function.</span></li></ul>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">STM3210B_EVAL</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210b_eval.h: Add LM75 DMA defines.</span></li></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210b_eval_lcd.c: </span><span style="font-size: 10pt; font-family: Verdana;">Change "SPI_FLASH" by "sFLASH" in LCD_DrawBMP() function.</span></li></ul>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">STM3210C_EVAL</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210c_eval.h: Add EEPROM driver Timeout define.</span></li></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210c_eval_lcd.c: </span><span style="font-size: 10pt; font-family: Verdana;">Change "SPI_FLASH" by "sFLASH" in LCD_DrawBMP() function.</span></li></ul><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210c_eval_i2c_ioe.c</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Enhanced I2C communication functions by using DMA for registers Read and Write operations.</span></li></ul><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Add Timeout management with user callback implementation which allows recovering from I2C bus errors.</span></li><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">change IOE_I2C_SPEED from "400000" to "300000".</span></li></ul></ul>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;"></span><span style="font-size: 10pt; font-family: Verdana;">STM3210E_EVAL</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210e_eval.c: change "void SD_WaitForDMAEndOfTransfer(void)" to "uint32_t SD_DMAEndOfTransferStatus(void)".</span></li></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210e_eval.h: Add LM75 DMA defines.</span></li></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210e_eval_fsmc_nand.h: remove "NAND_CMD_AREA_TRUE1" define.</span></li></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210e_eval_fsmc_nand.c: Update FSMC timing in NAND_Init() function to be aligned with AN2784 application note.</span></li></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210e_eval_fsmc_nor.c</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">NOR</span><span style="font-size: 10pt; font-family: Verdana;">_Init()&nbsp;function: add FSMC_AsynchronousWait&nbsp;field&nbsp;to FSMC_NORSRAMInitStructure&nbsp;</span></li></ul></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210e_eval_fsmc_sram.c<br>
+ </span></li></ul>
+ <ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">Update FSMC timing in SRAM_Init() function to be aligned with AN2784 application note.</span><br>
+ <span style="font-size: 10pt; font-family: Verdana;"></span></li></ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">SRAM_Init()&nbsp;function: add FSMC_AsynchronousWait&nbsp;field&nbsp;to FSMC_NORSRAMInitStructure&nbsp;</span></li></ul>
+ </ul>
+ <ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">stm3210e_eval_lcd.c</span></li><ul><li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">LCD_FSMCConfig() function: add FSMC_AsynchronousWait&nbsp;field&nbsp;to FSMC_NORSRAMInitStructure&nbsp;</span></li></ul></ul></ul>
+ <ul style="margin-top: 0in;" type="disc">
+
+
+ </ul>
+
+ <h3 style="background: rgb(51, 102, 255) none repeat scroll 0% 50%; -moz-background-clip: initial; -moz-background-origin: initial; -moz-background-inline-policy: initial; margin-right: 558.05pt;"><span style="font-size: 10pt; font-family: Arial; color: white;">4.2.0
+- 04/16/2010</span></h3>
+ <ol style="margin-top: 0in;" start="1" type="1">
+ <li class="MsoNormal" style=""><b><i><span style="font-size: 10pt; font-family: Verdana;">General</span></i></b><i><span style="font-size: 10pt; font-family: Verdana;"> </span></i><i><span style="font-size: 10pt;"><o:p></o:p></span></i></li>
+ </ol>
+
+ <ul style="margin-top: 0in;" type="disc">
+
+
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;">I2C EEPROM driver
+update to&nbsp;</span><span style="font-size: 10pt; font-family: Verdana;">use the DMA to
+perform&nbsp;data transfer&nbsp;to/from EEPROM memory.</span><span style="font-size: 10pt; font-family: Verdana;"> </span><span style="font-size: 10pt;"><o:p></o:p></span></li>
+
+
+ </ul>
+
+ <ol style="margin-top: 0in;" start="2" type="1">
+ <li class="MsoNormal" style=""><b><i><span style="font-size: 10pt; font-family: Verdana;">Utilities</span></i></b><b><i><span style="font-size: 10pt;"><o:p></o:p></span></i></b></li>
+ </ol>
+ <ul style="margin-top: 0in;" type="disc">
+ <li class="MsoNormal" style=""><i><u><span style="font-size: 10pt; font-family: Verdana;">STM32_EVAL</span></u></i><u><span style="font-size: 10pt;"><o:p></o:p></span></u></li>
+ </ul>
+ <ul style="margin-top: 0in;" type="disc">
+ <ul style="margin-top: 0in;" type="circle">
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;"><span style="font-style: italic;">stm32_eval_i2c_ee.c</span>:
+updated to use the DMA to perform&nbsp;data transfer&nbsp;to/from
+EEPROM memory. For more details, refer to the description provided
+within this file.</span></li>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;"><span style="font-style: italic;">stm3210c_eval.c</span>: add low level
+functions to configure the DMA (needed for I2C EEPROM driver)<br>
+ </span></li>
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;"><span style="font-style: italic;">stm3210c_eval_ioe.c</span>: add a delay
+in&nbsp;IOE_TS_GetState() function to wait till the end of ADC
+conversion</span></li>
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;"><span style="font-style: italic;">stm3210e_eval_fsmc_nor.c</span>: add </span><span style="font-size: 10pt; font-family: Verdana;">PD6 pin </span><span style="font-size: 10pt; font-family: Verdana;">configuration&nbsp;in
+NOR_Init() function</span></li>
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;"><span style="font-style: italic;">stm3210b_eval_lcd.c</span>: remove the
+second ";" from "static void PutPixel(int16_t x, int16_t y);;"&nbsp;</span><span style="font-size: 10pt; font-family: Verdana;"></span></li>
+ </ul>
+ </ul>
+ <h3 style="background: rgb(51, 102, 255) none repeat scroll 0% 50%; -moz-background-clip: initial; -moz-background-origin: initial; -moz-background-inline-policy: initial; margin-right: 558.05pt;"><span style="font-size: 10pt; font-family: Arial; color: white;">4.1.0
+- 03/01/2010</span></h3>
+ <ol style="margin-top: 0in;" start="1" type="1">
+ <li class="MsoNormal" style=""><b><i><span style="font-size: 10pt; font-family: Verdana;">General</span></i></b><i><span style="font-size: 10pt; font-family: Verdana;"> </span></i><i><span style="font-size: 10pt;"><o:p></o:p></span></i></li>
+ </ol>
+ <ul style="margin-top: 0in;" type="disc">
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;">Add support
+for&nbsp;<b>STM32 Low-density Value line (STM32F100x4/6) and
+Medium-density Value line (STM32F100x8/B) devices</b>.</span></li>
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;">Add support for the
+STMicroelectronics STM32100B-EVAL evaluation board. </span><span style="font-size: 10pt;"><o:p></o:p></span></li>
+ </ul>
+ <ol style="margin-top: 0in;" start="2" type="1">
+ <li class="MsoNormal" style=""><b><i><span style="font-size: 10pt; font-family: Verdana;">Utilities</span></i></b><b><i><span style="font-size: 10pt;"><o:p></o:p></span></i></b></li>
+ </ol>
+ <ul style="margin-top: 0in;" type="disc">
+ <li class="MsoNormal" style=""><i><u><span style="font-size: 10pt; font-family: Verdana;">STM32_EVAL</span></u></i><u><span style="font-size: 10pt;"><o:p></o:p></span></u></li>
+ </ul>
+ <ul style="margin-top: 0in;" type="disc">
+ <ul style="margin-top: 0in;" type="circle">
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;"></span><span style="font-size: 10pt; font-family: Verdana;"></span><span style="font-size: 10pt; font-family: Verdana;">Add new directory
+"Common" containing a common drivers for all STM32 evaluation boards:
+fonts.h/.c, stm32_eval_i2c_ee.h/.c, </span><span style="font-size: 10pt; font-family: Verdana;">stm32_eval_spi_flash.h/.c,
+ </span><span style="font-size: 10pt; font-family: Verdana;">stm32_eval_i2c_tsensor.h/.c,
+ </span><span style="font-size: 10pt; font-family: Verdana;">stm32_eval_spi_sd.h/.c
+and </span><span style="font-size: 10pt; font-family: Verdana;">stm32_eval_sdio_sd.h/.c</span></li>
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;">Add new driver for the
+STM32100B-EVAL managing Leds, push button and COM ports.</span></li>
+ <li class="MsoNormal"><span style="font-size: 10pt; font-family: Verdana;">New HDMI CEC High level
+driver.</span><br>
+ </li>
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;"><span style="font-style: italic;"></span>For all LCD drivers new fonts has
+been added.</span></li>
+ <li class="MsoNormal" style=""><span style="font-size: 10pt; font-family: Verdana;">New FSMC memories
+drivers for STM3210E-EVAL board: stm3210e_eval_fsmc_sram.h/.c, </span><span style="font-size: 10pt; font-family: Verdana;">stm3210e_eval_fsmc_nor.h/.c
+and </span><span style="font-size: 10pt; font-family: Verdana;">stm3210e_eval_fsmc_nand.h/.c.</span></li>
+ </ul>
+ </ul>
+ <h2 style="background: rgb(51, 102, 255) none repeat scroll 0% 50%; -moz-background-clip: initial; -moz-background-origin: initial; -moz-background-inline-policy: initial;"><a name="License"></a><span style="font-size: 12pt; color: white;">License<o:p></o:p></span></h2>
+ <p class="MsoNormal" style="margin: 4.5pt 0cm;"><span style="font-size: 10pt; font-family: Verdana; color: black;">The
+enclosed firmware and all the related documentation are not covered by
+a License Agreement, if you need such License you can contact your
+local STMicroelectronics office.<u1:p></u1:p><o:p></o:p></span></p>
+
+ <b><span style="font-size: 10pt; font-family: Verdana; color: black;">THE
+PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO
+SAVE TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR
+ANY DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY
+CLAIMS ARISING FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY
+CUSTOMERS OF THE CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH
+THEIR PRODUCTS.</span></b>
+
+ <div class="MsoNormal" style="text-align: center;" align="center"><span style="color: black;">
+ <hr align="center" size="2" width="100%"></span></div>
+ <p class="MsoNormal" style="margin: 4.5pt 0cm 4.5pt 18pt; text-align: center;" align="center"><span style="font-size: 10pt; font-family: Verdana; color: black;">For
+complete documentation on </span><span style="font-size: 10pt; font-family: Verdana;">STMicroelectronics<span style="color: black;"> Microcontrollers visit </span><a target="_blank" href="http://www.st.com/internet/mcu/family/141.jsp"><u><span style="color: blue;">www.st.com</span></u></a></span><span style="font-size: 10pt; font-family: Verdana;"><u><span style="color: blue;"><a href="http://www.st.com/stm32l" target="_blank"></a></span></u></span><span style="color: black;"><o:p></o:p></span></p>
+ </td>
+ </tr>
+ </tbody>
+ </table>
+ <p class="MsoNormal"><span style="font-size: 10pt;"><o:p></o:p></span></p>
+ </td>
+ </tr>
+ </tbody>
+</table>
+</div>
+<p class="MsoNormal"><o:p>&nbsp;</o:p></p>
+</div>
+
+</body></html> \ No newline at end of file
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100B_EVAL/stm32100b_eval.c b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100B_EVAL/stm32100b_eval.c
new file mode 100644
index 0000000..947329d
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100B_EVAL/stm32100b_eval.c
@@ -0,0 +1,624 @@
+/**
+ ******************************************************************************
+ * @file stm32100b_eval.c
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file provides
+ * - set of firmware functions to manage Leds, push-button and COM ports
+ * - low level initialization functions for SD card (on SPI), SPI serial
+ * flash (sFLASH) and temperature sensor (LM75)
+ * available on STM32100B-EVAL evaluation board from STMicroelectronics.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32100b_eval.h"
+#include "stm32f10x_spi.h"
+#include "stm32f10x_i2c.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100B_EVAL
+ * @{
+ */
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL
+ * @brief This file provides firmware functions to manage Leds, push-buttons,
+ * COM ports, SD card on SPI, serial flash (sFLASH) and temperature
+ * sensor (LM75) available on STM32100B-EVAL evaluation board from
+ * STMicroelectronics.
+ * @{
+ */
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Private_TypesDefinitions
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Private_Defines
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Private_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Private_Variables
+ * @{
+ */
+GPIO_TypeDef* GPIO_PORT[LEDn] = {LED1_GPIO_PORT, LED2_GPIO_PORT, LED3_GPIO_PORT,
+ LED4_GPIO_PORT};
+const uint16_t GPIO_PIN[LEDn] = {LED1_PIN, LED2_PIN, LED3_PIN,
+ LED4_PIN};
+const uint32_t GPIO_CLK[LEDn] = {LED1_GPIO_CLK, LED2_GPIO_CLK, LED3_GPIO_CLK,
+ LED4_GPIO_CLK};
+
+GPIO_TypeDef* BUTTON_PORT[BUTTONn] = {WAKEUP_BUTTON_GPIO_PORT, TAMPER_BUTTON_GPIO_PORT,
+ KEY_BUTTON_GPIO_PORT, RIGHT_BUTTON_GPIO_PORT,
+ LEFT_BUTTON_GPIO_PORT, UP_BUTTON_GPIO_PORT,
+ DOWN_BUTTON_GPIO_PORT, SEL_BUTTON_GPIO_PORT};
+
+const uint16_t BUTTON_PIN[BUTTONn] = {WAKEUP_BUTTON_PIN, TAMPER_BUTTON_PIN,
+ KEY_BUTTON_PIN, RIGHT_BUTTON_PIN,
+ LEFT_BUTTON_PIN, UP_BUTTON_PIN,
+ DOWN_BUTTON_PIN, SEL_BUTTON_PIN};
+
+const uint32_t BUTTON_CLK[BUTTONn] = {WAKEUP_BUTTON_GPIO_CLK, TAMPER_BUTTON_GPIO_CLK,
+ KEY_BUTTON_GPIO_CLK, RIGHT_BUTTON_GPIO_CLK,
+ LEFT_BUTTON_GPIO_CLK, UP_BUTTON_GPIO_CLK,
+ DOWN_BUTTON_GPIO_CLK, SEL_BUTTON_GPIO_CLK};
+
+const uint16_t BUTTON_EXTI_LINE[BUTTONn] = {WAKEUP_BUTTON_EXTI_LINE,
+ TAMPER_BUTTON_EXTI_LINE,
+ KEY_BUTTON_EXTI_LINE,
+ RIGHT_BUTTON_EXTI_LINE,
+ LEFT_BUTTON_EXTI_LINE,
+ UP_BUTTON_EXTI_LINE,
+ DOWN_BUTTON_EXTI_LINE,
+ SEL_BUTTON_EXTI_LINE};
+
+const uint16_t BUTTON_PORT_SOURCE[BUTTONn] = {WAKEUP_BUTTON_EXTI_PORT_SOURCE,
+ TAMPER_BUTTON_EXTI_PORT_SOURCE,
+ KEY_BUTTON_EXTI_PORT_SOURCE,
+ RIGHT_BUTTON_EXTI_PORT_SOURCE,
+ LEFT_BUTTON_EXTI_PORT_SOURCE,
+ UP_BUTTON_EXTI_PORT_SOURCE,
+ DOWN_BUTTON_EXTI_PORT_SOURCE,
+ SEL_BUTTON_EXTI_PORT_SOURCE};
+
+const uint16_t BUTTON_PIN_SOURCE[BUTTONn] = {WAKEUP_BUTTON_EXTI_PIN_SOURCE,
+ TAMPER_BUTTON_EXTI_PIN_SOURCE,
+ KEY_BUTTON_EXTI_PIN_SOURCE,
+ RIGHT_BUTTON_EXTI_PIN_SOURCE,
+ LEFT_BUTTON_EXTI_PIN_SOURCE,
+ UP_BUTTON_EXTI_PIN_SOURCE,
+ DOWN_BUTTON_EXTI_PIN_SOURCE,
+ SEL_BUTTON_EXTI_PIN_SOURCE};
+
+const uint16_t BUTTON_IRQn[BUTTONn] = {WAKEUP_BUTTON_EXTI_IRQn, TAMPER_BUTTON_EXTI_IRQn,
+ KEY_BUTTON_EXTI_IRQn, RIGHT_BUTTON_EXTI_IRQn,
+ LEFT_BUTTON_EXTI_IRQn, UP_BUTTON_EXTI_IRQn,
+ DOWN_BUTTON_EXTI_IRQn, SEL_BUTTON_EXTI_IRQn};
+
+USART_TypeDef* COM_USART[COMn] = {EVAL_COM1, EVAL_COM2};
+
+GPIO_TypeDef* COM_TX_PORT[COMn] = {EVAL_COM1_TX_GPIO_PORT, EVAL_COM2_TX_GPIO_PORT};
+
+GPIO_TypeDef* COM_RX_PORT[COMn] = {EVAL_COM1_RX_GPIO_PORT, EVAL_COM2_RX_GPIO_PORT};
+
+const uint32_t COM_USART_CLK[COMn] = {EVAL_COM1_CLK, EVAL_COM2_CLK};
+
+const uint32_t COM_TX_PORT_CLK[COMn] = {EVAL_COM1_TX_GPIO_CLK, EVAL_COM2_TX_GPIO_CLK};
+
+const uint32_t COM_RX_PORT_CLK[COMn] = {EVAL_COM1_RX_GPIO_CLK, EVAL_COM2_RX_GPIO_CLK};
+
+const uint16_t COM_TX_PIN[COMn] = {EVAL_COM1_TX_PIN, EVAL_COM2_TX_PIN};
+
+const uint16_t COM_RX_PIN[COMn] = {EVAL_COM1_RX_PIN, EVAL_COM2_RX_PIN};
+
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Private_FunctionPrototypes
+ * @{
+ */
+
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Private_Functions
+ * @{
+ */
+
+/**
+ * @brief Configures LED GPIO.
+ * @param Led: Specifies the Led to be configured.
+ * This parameter can be one of following parameters:
+ * @arg LED1
+ * @arg LED2
+ * @arg LED3
+ * @arg LED4
+ * @retval None
+ */
+void STM_EVAL_LEDInit(Led_TypeDef Led)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /* Enable the GPIO_LED Clock */
+ RCC_APB2PeriphClockCmd(GPIO_CLK[Led], ENABLE);
+
+ /* Configure the GPIO_LED pin */
+ GPIO_InitStructure.GPIO_Pin = GPIO_PIN[Led];
+
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_Init(GPIO_PORT[Led], &GPIO_InitStructure);
+}
+
+/**
+ * @brief Turns selected LED On.
+ * @param Led: Specifies the Led to be set on.
+ * This parameter can be one of following parameters:
+ * @arg LED1
+ * @arg LED2
+ * @arg LED3
+ * @arg LED4
+ * @retval None
+ */
+void STM_EVAL_LEDOn(Led_TypeDef Led)
+{
+ GPIO_PORT[Led]->BSRR = GPIO_PIN[Led];
+}
+
+/**
+ * @brief Turns selected LED Off.
+ * @param Led: Specifies the Led to be set off.
+ * This parameter can be one of following parameters:
+ * @arg LED1
+ * @arg LED2
+ * @arg LED3
+ * @arg LED4
+ * @retval None
+ */
+void STM_EVAL_LEDOff(Led_TypeDef Led)
+{
+ GPIO_PORT[Led]->BRR = GPIO_PIN[Led];
+}
+
+/**
+ * @brief Toggles the selected LED.
+ * @param Led: Specifies the Led to be toggled.
+ * This parameter can be one of following parameters:
+ * @arg LED1
+ * @arg LED2
+ * @arg LED3
+ * @arg LED4
+ * @retval None
+ */
+void STM_EVAL_LEDToggle(Led_TypeDef Led)
+{
+ GPIO_PORT[Led]->ODR ^= GPIO_PIN[Led];
+}
+
+/**
+ * @brief Configures Button GPIO and EXTI Line.
+ * @param Button: Specifies the Button to be configured.
+ * This parameter can be one of following parameters:
+ * @arg BUTTON_WAKEUP: Wakeup Push Button
+ * @arg BUTTON_TAMPER: Tamper Push Button
+ * @arg BUTTON_KEY: Key Push Button
+ * @arg BUTTON_RIGHT: Joystick Right Push Button
+ * @arg BUTTON_LEFT: Joystick Left Push Button
+ * @arg BUTTON_UP: Joystick Up Push Button
+ * @arg BUTTON_DOWN: Joystick Down Push Button
+ * @arg BUTTON_SEL: Joystick Sel Push Button
+ * @param Button_Mode: Specifies Button mode.
+ * This parameter can be one of following parameters:
+ * @arg BUTTON_MODE_GPIO: Button will be used as simple IO
+ * @arg BUTTON_MODE_EXTI: Button will be connected to EXTI line with interrupt
+ * generation capability
+ * @retval None
+ */
+void STM_EVAL_PBInit(Button_TypeDef Button, ButtonMode_TypeDef Button_Mode)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+ EXTI_InitTypeDef EXTI_InitStructure;
+ NVIC_InitTypeDef NVIC_InitStructure;
+
+ /* Enable the BUTTON Clock */
+ RCC_APB2PeriphClockCmd(BUTTON_CLK[Button] | RCC_APB2Periph_AFIO, ENABLE);
+
+ /* Configure Button pin as input floating */
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_InitStructure.GPIO_Pin = BUTTON_PIN[Button];
+ GPIO_Init(BUTTON_PORT[Button], &GPIO_InitStructure);
+
+
+ if (Button_Mode == BUTTON_MODE_EXTI)
+ {
+ /* Connect Button EXTI Line to Button GPIO Pin */
+ GPIO_EXTILineConfig(BUTTON_PORT_SOURCE[Button], BUTTON_PIN_SOURCE[Button]);
+
+ /* Configure Button EXTI line */
+ EXTI_InitStructure.EXTI_Line = BUTTON_EXTI_LINE[Button];
+ EXTI_InitStructure.EXTI_Mode = EXTI_Mode_Interrupt;
+
+ if(Button != BUTTON_WAKEUP)
+ {
+ EXTI_InitStructure.EXTI_Trigger = EXTI_Trigger_Falling;
+ }
+ else
+ {
+ EXTI_InitStructure.EXTI_Trigger = EXTI_Trigger_Rising;
+ }
+ EXTI_InitStructure.EXTI_LineCmd = ENABLE;
+ EXTI_Init(&EXTI_InitStructure);
+
+ /* Enable and set Button EXTI Interrupt to the lowest priority */
+ NVIC_InitStructure.NVIC_IRQChannel = BUTTON_IRQn[Button];
+ NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0x0F;
+ NVIC_InitStructure.NVIC_IRQChannelSubPriority = 0x0F;
+ NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;
+
+ NVIC_Init(&NVIC_InitStructure);
+ }
+}
+
+/**
+ * @brief Returns the selected Button state.
+ * @param Button: Specifies the Button to be checked.
+ * This parameter can be one of following parameters:
+ * @arg BUTTON_WAKEUP: Wakeup Push Button
+ * @arg BUTTON_TAMPER: Tamper Push Button
+ * @arg BUTTON_KEY: Key Push Button
+ * @arg BUTTON_RIGHT: Joystick Right Push Button
+ * @arg BUTTON_LEFT: Joystick Left Push Button
+ * @arg BUTTON_UP: Joystick Up Push Button
+ * @arg BUTTON_DOWN: Joystick Down Push Button
+ * @arg BUTTON_SEL: Joystick Sel Push Button
+ * @retval The Button GPIO pin value.
+ */
+uint32_t STM_EVAL_PBGetState(Button_TypeDef Button)
+{
+ return GPIO_ReadInputDataBit(BUTTON_PORT[Button], BUTTON_PIN[Button]);
+}
+
+
+/**
+ * @brief Configures COM port.
+ * @param COM: Specifies the COM port to be configured.
+ * This parameter can be one of following parameters:
+ * @arg COM1
+ * @arg COM2
+ * @param USART_InitStruct: pointer to a USART_InitTypeDef structure that
+ * contains the configuration information for the specified USART peripheral.
+ * @retval None
+ */
+void STM_EVAL_COMInit(COM_TypeDef COM, USART_InitTypeDef* USART_InitStruct)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /* Enable GPIO clock */
+ RCC_APB2PeriphClockCmd(COM_TX_PORT_CLK[COM] | COM_RX_PORT_CLK[COM] | RCC_APB2Periph_AFIO, ENABLE);
+
+
+ /* Enable UART clock */
+ if (COM == COM1)
+ {
+ RCC_APB2PeriphClockCmd(COM_USART_CLK[COM], ENABLE);
+ }
+ else
+ {
+ /* Enable the USART2 Pins Software Remapping */
+ GPIO_PinRemapConfig(GPIO_Remap_USART2, ENABLE);
+ RCC_APB1PeriphClockCmd(COM_USART_CLK[COM], ENABLE);
+ }
+
+ /* Configure USART Tx as alternate function push-pull */
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
+ GPIO_InitStructure.GPIO_Pin = COM_TX_PIN[COM];
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_Init(COM_TX_PORT[COM], &GPIO_InitStructure);
+
+ /* Configure USART Rx as input floating */
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_InitStructure.GPIO_Pin = COM_RX_PIN[COM];
+ GPIO_Init(COM_RX_PORT[COM], &GPIO_InitStructure);
+
+ /* USART configuration */
+ USART_Init(COM_USART[COM], USART_InitStruct);
+
+ /* Enable USART */
+ USART_Cmd(COM_USART[COM], ENABLE);
+}
+
+/**
+ * @brief DeInitializes the SD/SD communication.
+ * @param None
+ * @retval None
+ */
+void SD_LowLevel_DeInit(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ SPI_Cmd(SD_SPI, DISABLE); /*!< SD_SPI disable */
+ SPI_I2S_DeInit(SD_SPI); /*!< DeInitializes the SD_SPI */
+
+ /*!< SD_SPI Periph clock disable */
+ RCC_APB2PeriphClockCmd(SD_SPI_CLK, DISABLE);
+
+ /*!< Configure SD_SPI pins: SCK */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_SCK_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(SD_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI pins: MISO */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_MISO_PIN;
+ GPIO_Init(SD_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI pins: MOSI */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_MOSI_PIN;
+ GPIO_Init(SD_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI_CS_PIN pin: SD Card CS pin */
+ GPIO_InitStructure.GPIO_Pin = SD_CS_PIN;
+ GPIO_Init(SD_CS_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI_DETECT_PIN pin: SD Card detect pin */
+ GPIO_InitStructure.GPIO_Pin = SD_DETECT_PIN;
+ GPIO_Init(SD_DETECT_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Initializes the SD_SPI and CS pins.
+ * @param None
+ * @retval None
+ */
+void SD_LowLevel_Init(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+ SPI_InitTypeDef SPI_InitStructure;
+
+ /*!< SD_SPI_CS_GPIO, SD_SPI_MOSI_GPIO, SD_SPI_MISO_GPIO, SD_SPI_DETECT_GPIO
+ and SD_SPI_SCK_GPIO Periph clock enable */
+ RCC_APB2PeriphClockCmd(SD_CS_GPIO_CLK | SD_SPI_MOSI_GPIO_CLK | SD_SPI_MISO_GPIO_CLK |
+ SD_SPI_SCK_GPIO_CLK | SD_DETECT_GPIO_CLK, ENABLE);
+
+ /*!< SD_SPI Periph clock enable */
+ RCC_APB2PeriphClockCmd(SD_SPI_CLK, ENABLE);
+
+
+ /*!< Configure SD_SPI pins: SCK */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_SCK_PIN;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
+ GPIO_Init(SD_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI pins: MOSI */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_MOSI_PIN;
+ GPIO_Init(SD_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI pins: MISO */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_MISO_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(SD_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI_CS_PIN pin: SD Card CS pin */
+ GPIO_InitStructure.GPIO_Pin = SD_CS_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
+ GPIO_Init(SD_CS_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI_DETECT_PIN pin: SD Card detect pin */
+ GPIO_InitStructure.GPIO_Pin = SD_DETECT_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;
+ GPIO_Init(SD_DETECT_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< SD_SPI Config */
+ SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;
+ SPI_InitStructure.SPI_Mode = SPI_Mode_Master;
+ SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;
+ SPI_InitStructure.SPI_CPOL = SPI_CPOL_High;
+ SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge;
+ SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;
+ SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_2;
+
+ SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;
+ SPI_InitStructure.SPI_CRCPolynomial = 7;
+ SPI_Init(SD_SPI, &SPI_InitStructure);
+
+ SPI_Cmd(SD_SPI, ENABLE); /*!< SD_SPI enable */
+}
+
+/**
+ * @brief DeInitializes the peripherals used by the SPI FLASH driver.
+ * @param None
+ * @retval None
+ */
+void sFLASH_LowLevel_DeInit(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< Disable the sFLASH_SPI */
+ SPI_Cmd(sFLASH_SPI, DISABLE);
+
+ /*!< DeInitializes the sFLASH_SPI */
+ SPI_I2S_DeInit(sFLASH_SPI);
+
+ /*!< sFLASH_SPI Periph clock disable */
+ RCC_APB2PeriphClockCmd(sFLASH_SPI_CLK, DISABLE);
+
+ /*!< Configure sFLASH_SPI pins: SCK */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_SCK_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(sFLASH_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_SPI pins: MISO */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_MISO_PIN;
+ GPIO_Init(sFLASH_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_SPI pins: MOSI */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_MOSI_PIN;
+ GPIO_Init(sFLASH_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_CS_PIN pin: sFLASH Card CS pin */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_CS_PIN;
+ GPIO_Init(sFLASH_CS_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Initializes the peripherals used by the SPI FLASH driver.
+ * @param None
+ * @retval None
+ */
+void sFLASH_LowLevel_Init(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< sFLASH_SPI_CS_GPIO, sFLASH_SPI_MOSI_GPIO, sFLASH_SPI_MISO_GPIO
+ and sFLASH_SPI_SCK_GPIO Periph clock enable */
+ RCC_APB2PeriphClockCmd(sFLASH_CS_GPIO_CLK | sFLASH_SPI_MOSI_GPIO_CLK | sFLASH_SPI_MISO_GPIO_CLK |
+ sFLASH_SPI_SCK_GPIO_CLK, ENABLE);
+
+ /*!< sFLASH_SPI Periph clock enable */
+ RCC_APB2PeriphClockCmd(sFLASH_SPI_CLK, ENABLE);
+
+ /*!< Configure sFLASH_SPI pins: SCK */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_SCK_PIN;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
+ GPIO_Init(sFLASH_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_SPI pins: MOSI */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_MOSI_PIN;
+ GPIO_Init(sFLASH_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_SPI pins: MISO */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_MISO_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(sFLASH_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_CS_PIN pin: sFLASH Card CS pin */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_CS_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
+ GPIO_Init(sFLASH_CS_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief DeInitializes the LM75_I2C.
+ * @param None
+ * @retval None
+ */
+void LM75_LowLevel_DeInit(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< Disable LM75_I2C */
+ I2C_Cmd(LM75_I2C, DISABLE);
+ /*!< DeInitializes the LM75_I2C */
+ I2C_DeInit(LM75_I2C);
+
+ /*!< LM75_I2C Periph clock disable */
+ RCC_APB1PeriphClockCmd(LM75_I2C_CLK, DISABLE);
+
+ /*!< Configure LM75_I2C pins: SCL */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SCL_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(LM75_I2C_SCL_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure LM75_I2C pins: SDA */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SDA_PIN;
+ GPIO_Init(LM75_I2C_SDA_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure LM75_I2C pin: SMBUS ALERT */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SMBUSALERT_PIN;
+ GPIO_Init(LM75_I2C_SMBUSALERT_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Initializes the LM75_I2C..
+ * @param None
+ * @retval None
+ */
+void LM75_LowLevel_Init(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< LM75_I2C Periph clock enable */
+ RCC_APB1PeriphClockCmd(LM75_I2C_CLK, ENABLE);
+
+ /*!< LM75_I2C_SCL_GPIO_CLK, LM75_I2C_SDA_GPIO_CLK
+ and LM75_I2C_SMBUSALERT_GPIO_CLK Periph clock enable */
+ RCC_APB2PeriphClockCmd(LM75_I2C_SCL_GPIO_CLK | LM75_I2C_SDA_GPIO_CLK |
+ LM75_I2C_SMBUSALERT_GPIO_CLK, ENABLE);
+
+ /*!< Configure LM75_I2C pins: SCL */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SCL_PIN;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_OD;
+ GPIO_Init(LM75_I2C_SCL_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure LM75_I2C pins: SDA */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SDA_PIN;
+ GPIO_Init(LM75_I2C_SDA_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure LM75_I2C pin: SMBUS ALERT */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SMBUSALERT_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;
+ GPIO_Init(LM75_I2C_SMBUSALERT_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @}
+ */
+
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100B_EVAL/stm32100b_eval.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100B_EVAL/stm32100b_eval.h
new file mode 100644
index 0000000..b6c4ccb
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100B_EVAL/stm32100b_eval.h
@@ -0,0 +1,341 @@
+/**
+ ******************************************************************************
+ * @file stm32100b_eval.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file contains definitions for STM32100B_EVAL's Leds, push-buttons
+ * COM ports, SD Card on SPI, sFLASH on SPI and Temperature Sensor LM75 on I2C
+ * hardware resources.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM32100B_EVAL_H
+#define __STM32100B_EVAL_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32_eval.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100B_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100B_EVAL_LOW_LEVEL
+ * @{
+ */
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Exported_Types
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Exported_Constants
+ * @{
+ */
+/** @addtogroup STM32100B_EVAL_LOW_LEVEL_LED
+ * @{
+ */
+#define LEDn 4
+#define LED1_PIN GPIO_Pin_6
+#define LED1_GPIO_PORT GPIOC
+#define LED1_GPIO_CLK RCC_APB2Periph_GPIOC
+
+#define LED2_PIN GPIO_Pin_7
+#define LED2_GPIO_PORT GPIOC
+#define LED2_GPIO_CLK RCC_APB2Periph_GPIOC
+
+#define LED3_PIN GPIO_Pin_8
+#define LED3_GPIO_PORT GPIOC
+#define LED3_GPIO_CLK RCC_APB2Periph_GPIOC
+
+#define LED4_PIN GPIO_Pin_9
+#define LED4_GPIO_PORT GPIOC
+#define LED4_GPIO_CLK RCC_APB2Periph_GPIOC
+
+/**
+ * @}
+ */
+
+/** @addtogroup STM32100B_EVAL_LOW_LEVEL_BUTTON
+ * @{
+ */
+#define BUTTONn 8
+
+/**
+ * @brief Wakeup push-button
+ */
+#define WAKEUP_BUTTON_PIN GPIO_Pin_0
+#define WAKEUP_BUTTON_GPIO_PORT GPIOA
+#define WAKEUP_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOA
+#define WAKEUP_BUTTON_EXTI_LINE EXTI_Line0
+#define WAKEUP_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOA
+#define WAKEUP_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource0
+#define WAKEUP_BUTTON_EXTI_IRQn EXTI0_IRQn
+/**
+ * @brief Tamper push-button
+ */
+#define TAMPER_BUTTON_PIN GPIO_Pin_13
+#define TAMPER_BUTTON_GPIO_PORT GPIOC
+#define TAMPER_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOC
+#define TAMPER_BUTTON_EXTI_LINE EXTI_Line13
+#define TAMPER_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOC
+#define TAMPER_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource13
+#define TAMPER_BUTTON_EXTI_IRQn EXTI15_10_IRQn
+/**
+ * @brief Key push-button
+ */
+#define KEY_BUTTON_PIN GPIO_Pin_9
+#define KEY_BUTTON_GPIO_PORT GPIOB
+#define KEY_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOB
+#define KEY_BUTTON_EXTI_LINE EXTI_Line9
+#define KEY_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOB
+#define KEY_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource9
+#define KEY_BUTTON_EXTI_IRQn EXTI9_5_IRQn
+/**
+ * @brief Joystick Right push-button
+ */
+#define RIGHT_BUTTON_PIN GPIO_Pin_1
+#define RIGHT_BUTTON_GPIO_PORT GPIOE
+#define RIGHT_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOE
+#define RIGHT_BUTTON_EXTI_LINE EXTI_Line1
+#define RIGHT_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOE
+#define RIGHT_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource1
+#define RIGHT_BUTTON_EXTI_IRQn EXTI1_IRQn
+/**
+ * @brief Joystick Left push-button
+ */
+#define LEFT_BUTTON_PIN GPIO_Pin_0
+#define LEFT_BUTTON_GPIO_PORT GPIOE
+#define LEFT_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOE
+#define LEFT_BUTTON_EXTI_LINE EXTI_Line0
+#define LEFT_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOE
+#define LEFT_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource0
+#define LEFT_BUTTON_EXTI_IRQn EXTI0_IRQn
+/**
+ * @brief Joystick Up push-button
+ */
+#define UP_BUTTON_PIN GPIO_Pin_8
+#define UP_BUTTON_GPIO_PORT GPIOD
+#define UP_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOD
+#define UP_BUTTON_EXTI_LINE EXTI_Line8
+#define UP_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOD
+#define UP_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource8
+#define UP_BUTTON_EXTI_IRQn EXTI9_5_IRQn
+/**
+ * @brief Joystick Down push-button
+ */
+#define DOWN_BUTTON_PIN GPIO_Pin_14
+#define DOWN_BUTTON_GPIO_PORT GPIOD
+#define DOWN_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOD
+#define DOWN_BUTTON_EXTI_LINE EXTI_Line14
+#define DOWN_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOD
+#define DOWN_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource14
+#define DOWN_BUTTON_EXTI_IRQn EXTI15_10_IRQn
+/**
+ * @brief Joystick Sel push-button
+ */
+#define SEL_BUTTON_PIN GPIO_Pin_12
+#define SEL_BUTTON_GPIO_PORT GPIOD
+#define SEL_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOD
+#define SEL_BUTTON_EXTI_LINE EXTI_Line12
+#define SEL_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOD
+#define SEL_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource12
+#define SEL_BUTTON_EXTI_IRQn EXTI15_10_IRQn
+/**
+ * @}
+ */
+
+/** @addtogroup STM32100B_EVAL_LOW_LEVEL_COM
+ * @{
+ */
+#define COMn 2
+
+/**
+ * @brief Definition for COM port1, connected to USART1
+ */
+#define EVAL_COM1 USART1
+#define EVAL_COM1_CLK RCC_APB2Periph_USART1
+#define EVAL_COM1_TX_PIN GPIO_Pin_9
+#define EVAL_COM1_TX_GPIO_PORT GPIOA
+#define EVAL_COM1_TX_GPIO_CLK RCC_APB2Periph_GPIOA
+#define EVAL_COM1_RX_PIN GPIO_Pin_10
+#define EVAL_COM1_RX_GPIO_PORT GPIOA
+#define EVAL_COM1_RX_GPIO_CLK RCC_APB2Periph_GPIOA
+#define EVAL_COM1_IRQn USART1_IRQn
+
+/**
+ * @brief Definition for COM port2, connected to USART2 (USART2 pins remapped on GPIOD)
+ */
+#define EVAL_COM2 USART2
+#define EVAL_COM2_CLK RCC_APB1Periph_USART2
+#define EVAL_COM2_TX_PIN GPIO_Pin_5
+#define EVAL_COM2_TX_GPIO_PORT GPIOD
+#define EVAL_COM2_TX_GPIO_CLK RCC_APB2Periph_GPIOD
+#define EVAL_COM2_RX_PIN GPIO_Pin_6
+#define EVAL_COM2_RX_GPIO_PORT GPIOD
+#define EVAL_COM2_RX_GPIO_CLK RCC_APB2Periph_GPIOD
+#define EVAL_COM2_IRQn USART2_IRQn
+
+/**
+ * @}
+ */
+
+/** @addtogroup STM32100B_EVAL_LOW_LEVEL_SD_SPI
+ * @{
+ */
+/**
+ * @brief SD SPI Interface pins
+ */
+#define SD_SPI SPI1
+#define SD_SPI_CLK RCC_APB2Periph_SPI1
+#define SD_SPI_SCK_PIN GPIO_Pin_5 /* PA.05 */
+#define SD_SPI_SCK_GPIO_PORT GPIOA /* GPIOA */
+#define SD_SPI_SCK_GPIO_CLK RCC_APB2Periph_GPIOA
+#define SD_SPI_MISO_PIN GPIO_Pin_6 /* PA.06 */
+#define SD_SPI_MISO_GPIO_PORT GPIOA /* GPIOA */
+#define SD_SPI_MISO_GPIO_CLK RCC_APB2Periph_GPIOA
+#define SD_SPI_MOSI_PIN GPIO_Pin_7 /* PA.07 */
+#define SD_SPI_MOSI_GPIO_PORT GPIOA /* GPIOA */
+#define SD_SPI_MOSI_GPIO_CLK RCC_APB2Periph_GPIOA
+#define SD_CS_PIN GPIO_Pin_12 /* PC.12 */
+#define SD_CS_GPIO_PORT GPIOC /* GPIOC */
+#define SD_CS_GPIO_CLK RCC_APB2Periph_GPIOC
+#define SD_DETECT_PIN GPIO_Pin_7 /* PE.07 */
+#define SD_DETECT_GPIO_PORT GPIOE /* GPIOE */
+#define SD_DETECT_GPIO_CLK RCC_APB2Periph_GPIOE
+
+/**
+ * @}
+ */
+
+/** @addtogroup STM32100B_EVAL_LOW_LEVEL_M25P_FLASH_SPI
+ * @{
+ */
+/**
+ * @brief M25P FLASH SPI Interface pins
+ */
+#define sFLASH_SPI SPI1
+#define sFLASH_SPI_CLK RCC_APB2Periph_SPI1
+#define sFLASH_SPI_SCK_PIN GPIO_Pin_5 /* PA.05 */
+#define sFLASH_SPI_SCK_GPIO_PORT GPIOA /* GPIOA */
+#define sFLASH_SPI_SCK_GPIO_CLK RCC_APB2Periph_GPIOA
+#define sFLASH_SPI_MISO_PIN GPIO_Pin_6 /* PA.06 */
+#define sFLASH_SPI_MISO_GPIO_PORT GPIOA /* GPIOA */
+#define sFLASH_SPI_MISO_GPIO_CLK RCC_APB2Periph_GPIOA
+#define sFLASH_SPI_MOSI_PIN GPIO_Pin_7 /* PA.07 */
+#define sFLASH_SPI_MOSI_GPIO_PORT GPIOA /* GPIOA */
+#define sFLASH_SPI_MOSI_GPIO_CLK RCC_APB2Periph_GPIOA
+#define sFLASH_CS_PIN GPIO_Pin_9 /* PD.09 */
+#define sFLASH_CS_GPIO_PORT GPIOD /* GPIOD */
+#define sFLASH_CS_GPIO_CLK RCC_APB2Periph_GPIOD
+
+/**
+ * @}
+ */
+
+/** @addtogroup STM32100B_EVAL_LOW_LEVEL_TSENSOR_I2C
+ * @{
+ */
+/**
+ * @brief LM75 Temperature Sensor I2C Interface pins
+ */
+#define LM75_I2C I2C1
+#define LM75_I2C_CLK RCC_APB1Periph_I2C1
+#define LM75_I2C_SCL_PIN GPIO_Pin_6 /* PB.06 */
+#define LM75_I2C_SCL_GPIO_PORT GPIOB /* GPIOB */
+#define LM75_I2C_SCL_GPIO_CLK RCC_APB2Periph_GPIOB
+#define LM75_I2C_SDA_PIN GPIO_Pin_7 /* PB.07 */
+#define LM75_I2C_SDA_GPIO_PORT GPIOB /* GPIOB */
+#define LM75_I2C_SDA_GPIO_CLK RCC_APB2Periph_GPIOB
+#define LM75_I2C_SMBUSALERT_PIN GPIO_Pin_5 /* PB.05 */
+#define LM75_I2C_SMBUSALERT_GPIO_PORT GPIOB /* GPIOB */
+#define LM75_I2C_SMBUSALERT_GPIO_CLK RCC_APB2Periph_GPIOB
+#define LM75_I2C_DR ((uint32_t)0x40005410)
+
+#define LM75_DMA_CLK RCC_AHBPeriph_DMA1
+#define LM75_DMA_TX_CHANNEL DMA1_Channel6
+#define LM75_DMA_RX_CHANNEL DMA1_Channel7
+#define LM75_DMA_TX_TCFLAG DMA1_FLAG_TC6
+#define LM75_DMA_RX_TCFLAG DMA1_FLAG_TC7
+/**
+ * @}
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Exported_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32100B_EVAL_LOW_LEVEL_Exported_Functions
+ * @{
+ */
+void STM_EVAL_LEDInit(Led_TypeDef Led);
+void STM_EVAL_LEDOn(Led_TypeDef Led);
+void STM_EVAL_LEDOff(Led_TypeDef Led);
+void STM_EVAL_LEDToggle(Led_TypeDef Led);
+void STM_EVAL_PBInit(Button_TypeDef Button, ButtonMode_TypeDef Button_Mode);
+uint32_t STM_EVAL_PBGetState(Button_TypeDef Button);
+void STM_EVAL_COMInit(COM_TypeDef COM, USART_InitTypeDef* USART_InitStruct);
+void SD_LowLevel_DeInit(void);
+void SD_LowLevel_Init(void);
+void sFLASH_LowLevel_DeInit(void);
+void sFLASH_LowLevel_Init(void);
+void LM75_LowLevel_DeInit(void);
+void LM75_LowLevel_Init(void);
+/**
+ * @}
+ */
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __STM32100B_EVAL_H */
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval.c b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval.c
new file mode 100644
index 0000000..d2adb8c
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval.c
@@ -0,0 +1,762 @@
+/**
+ ******************************************************************************
+ * @file stm32100e_eval.c
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file provides
+ * - set of firmware functions to manage Leds, push-button and COM ports
+ * - low level initialization functions for SD card (on SDIO), SPI serial
+ * flash (sFLASH) and temperature sensor (LM75)
+ * available on STM32100E-EVAL evaluation board from STMicroelectronics.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32100e_eval.h"
+#include "stm32f10x_spi.h"
+#include "stm32f10x_i2c.h"
+#include "stm32f10x_dma.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL
+ * @{
+ */
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL
+ * @brief This file provides firmware functions to manage Leds, push-buttons,
+ * COM ports, SD card on SDIO, serial flash (sFLASH), serial EEPROM (sEE)
+ * and temperature sensor (LM75) available on STM32100E-EVAL evaluation
+ * board from STMicroelectronics.
+ * @{
+ */
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Private_TypesDefinitions
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Private_Defines
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Private_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Private_Variables
+ * @{
+ */
+GPIO_TypeDef* GPIO_PORT[LEDn] = {LED1_GPIO_PORT, LED2_GPIO_PORT, LED3_GPIO_PORT,
+ LED4_GPIO_PORT};
+const uint16_t GPIO_PIN[LEDn] = {LED1_PIN, LED2_PIN, LED3_PIN,
+ LED4_PIN};
+const uint32_t GPIO_CLK[LEDn] = {LED1_GPIO_CLK, LED2_GPIO_CLK, LED3_GPIO_CLK,
+ LED4_GPIO_CLK};
+
+GPIO_TypeDef* BUTTON_PORT[BUTTONn] = {WAKEUP_BUTTON_GPIO_PORT, TAMPER_BUTTON_GPIO_PORT,
+ KEY_BUTTON_GPIO_PORT, RIGHT_BUTTON_GPIO_PORT,
+ LEFT_BUTTON_GPIO_PORT, UP_BUTTON_GPIO_PORT,
+ DOWN_BUTTON_GPIO_PORT, SEL_BUTTON_GPIO_PORT};
+
+const uint16_t BUTTON_PIN[BUTTONn] = {WAKEUP_BUTTON_PIN, TAMPER_BUTTON_PIN,
+ KEY_BUTTON_PIN, RIGHT_BUTTON_PIN,
+ LEFT_BUTTON_PIN, UP_BUTTON_PIN,
+ DOWN_BUTTON_PIN, SEL_BUTTON_PIN};
+
+const uint32_t BUTTON_CLK[BUTTONn] = {WAKEUP_BUTTON_GPIO_CLK, TAMPER_BUTTON_GPIO_CLK,
+ KEY_BUTTON_GPIO_CLK, RIGHT_BUTTON_GPIO_CLK,
+ LEFT_BUTTON_GPIO_CLK, UP_BUTTON_GPIO_CLK,
+ DOWN_BUTTON_GPIO_CLK, SEL_BUTTON_GPIO_CLK};
+
+const uint16_t BUTTON_EXTI_LINE[BUTTONn] = {WAKEUP_BUTTON_EXTI_LINE,
+ TAMPER_BUTTON_EXTI_LINE,
+ KEY_BUTTON_EXTI_LINE,
+ RIGHT_BUTTON_EXTI_LINE,
+ LEFT_BUTTON_EXTI_LINE,
+ UP_BUTTON_EXTI_LINE,
+ DOWN_BUTTON_EXTI_LINE,
+ SEL_BUTTON_EXTI_LINE};
+
+const uint16_t BUTTON_PORT_SOURCE[BUTTONn] = {WAKEUP_BUTTON_EXTI_PORT_SOURCE,
+ TAMPER_BUTTON_EXTI_PORT_SOURCE,
+ KEY_BUTTON_EXTI_PORT_SOURCE,
+ RIGHT_BUTTON_EXTI_PORT_SOURCE,
+ LEFT_BUTTON_EXTI_PORT_SOURCE,
+ UP_BUTTON_EXTI_PORT_SOURCE,
+ DOWN_BUTTON_EXTI_PORT_SOURCE,
+ SEL_BUTTON_EXTI_PORT_SOURCE};
+
+const uint16_t BUTTON_PIN_SOURCE[BUTTONn] = {WAKEUP_BUTTON_EXTI_PIN_SOURCE,
+ TAMPER_BUTTON_EXTI_PIN_SOURCE,
+ KEY_BUTTON_EXTI_PIN_SOURCE,
+ RIGHT_BUTTON_EXTI_PIN_SOURCE,
+ LEFT_BUTTON_EXTI_PIN_SOURCE,
+ UP_BUTTON_EXTI_PIN_SOURCE,
+ DOWN_BUTTON_EXTI_PIN_SOURCE,
+ SEL_BUTTON_EXTI_PIN_SOURCE};
+
+const uint16_t BUTTON_IRQn[BUTTONn] = {WAKEUP_BUTTON_EXTI_IRQn, TAMPER_BUTTON_EXTI_IRQn,
+ KEY_BUTTON_EXTI_IRQn, RIGHT_BUTTON_EXTI_IRQn,
+ LEFT_BUTTON_EXTI_IRQn, UP_BUTTON_EXTI_IRQn,
+ DOWN_BUTTON_EXTI_IRQn, SEL_BUTTON_EXTI_IRQn};
+
+USART_TypeDef* COM_USART[COMn] = {EVAL_COM1, EVAL_COM2};
+
+GPIO_TypeDef* COM_TX_PORT[COMn] = {EVAL_COM1_TX_GPIO_PORT, EVAL_COM2_TX_GPIO_PORT};
+
+GPIO_TypeDef* COM_RX_PORT[COMn] = {EVAL_COM1_RX_GPIO_PORT, EVAL_COM2_RX_GPIO_PORT};
+
+const uint32_t COM_USART_CLK[COMn] = {EVAL_COM1_CLK, EVAL_COM2_CLK};
+
+const uint32_t COM_TX_PORT_CLK[COMn] = {EVAL_COM1_TX_GPIO_CLK, EVAL_COM2_TX_GPIO_CLK};
+
+const uint32_t COM_RX_PORT_CLK[COMn] = {EVAL_COM1_RX_GPIO_CLK, EVAL_COM2_RX_GPIO_CLK};
+
+const uint16_t COM_TX_PIN[COMn] = {EVAL_COM1_TX_PIN, EVAL_COM2_TX_PIN};
+
+const uint16_t COM_RX_PIN[COMn] = {EVAL_COM1_RX_PIN, EVAL_COM2_RX_PIN};
+
+DMA_InitTypeDef sEEDMA_InitStructure;
+
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Private_FunctionPrototypes
+ * @{
+ */
+
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Private_Functions
+ * @{
+ */
+
+/**
+ * @brief Configures LED GPIO.
+ * @param Led: Specifies the Led to be configured.
+ * This parameter can be one of following parameters:
+ * @arg LED1
+ * @arg LED2
+ * @arg LED3
+ * @arg LED4
+ * @retval None
+ */
+void STM_EVAL_LEDInit(Led_TypeDef Led)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /* Enable the GPIO_LED Clock */
+ RCC_APB2PeriphClockCmd(GPIO_CLK[Led], ENABLE);
+
+ /* Configure the GPIO_LED pin */
+ GPIO_InitStructure.GPIO_Pin = GPIO_PIN[Led];
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+
+ GPIO_Init(GPIO_PORT[Led], &GPIO_InitStructure);
+}
+
+/**
+ * @brief Turns selected LED On.
+ * @param Led: Specifies the Led to be set on.
+ * This parameter can be one of following parameters:
+ * @arg LED1
+ * @arg LED2
+ * @arg LED3
+ * @arg LED4
+ * @retval None
+ */
+void STM_EVAL_LEDOn(Led_TypeDef Led)
+{
+ GPIO_PORT[Led]->BSRR = GPIO_PIN[Led];
+}
+
+/**
+ * @brief Turns selected LED Off.
+ * @param Led: Specifies the Led to be set off.
+ * This parameter can be one of following parameters:
+ * @arg LED1
+ * @arg LED2
+ * @arg LED3
+ * @arg LED4
+ * @retval None
+ */
+void STM_EVAL_LEDOff(Led_TypeDef Led)
+{
+ GPIO_PORT[Led]->BRR = GPIO_PIN[Led];
+}
+
+/**
+ * @brief Toggles the selected LED.
+ * @param Led: Specifies the Led to be toggled.
+ * This parameter can be one of following parameters:
+ * @arg LED1
+ * @arg LED2
+ * @arg LED3
+ * @arg LED4
+ * @retval None
+ */
+void STM_EVAL_LEDToggle(Led_TypeDef Led)
+{
+ GPIO_PORT[Led]->ODR ^= GPIO_PIN[Led];
+}
+
+/**
+ * @brief Configures Button GPIO and EXTI Line.
+ * @param Button: Specifies the Button to be configured.
+ * This parameter can be one of following parameters:
+ * @arg BUTTON_WAKEUP: Wakeup Push Button
+ * @arg BUTTON_TAMPER: Tamper Push Button
+ * @arg BUTTON_KEY: Key Push Button
+ * @arg BUTTON_RIGHT: Joystick Right Push Button
+ * @arg BUTTON_LEFT: Joystick Left Push Button
+ * @arg BUTTON_UP: Joystick Up Push Button
+ * @arg BUTTON_DOWN: Joystick Down Push Button
+ * @arg BUTTON_SEL: Joystick Sel Push Button
+ * @param Button_Mode: Specifies Button mode.
+ * This parameter can be one of following parameters:
+ * @arg BUTTON_MODE_GPIO: Button will be used as simple IO
+ * @arg BUTTON_MODE_EXTI: Button will be connected to EXTI line with interrupt
+ * generation capability
+ * @retval None
+ */
+void STM_EVAL_PBInit(Button_TypeDef Button, ButtonMode_TypeDef Button_Mode)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+ EXTI_InitTypeDef EXTI_InitStructure;
+ NVIC_InitTypeDef NVIC_InitStructure;
+
+ /* Enable the BUTTON Clock */
+ RCC_APB2PeriphClockCmd(BUTTON_CLK[Button] | RCC_APB2Periph_AFIO, ENABLE);
+
+ /* Configure Button pin as input floating */
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_InitStructure.GPIO_Pin = BUTTON_PIN[Button];
+ GPIO_Init(BUTTON_PORT[Button], &GPIO_InitStructure);
+
+
+ if (Button_Mode == BUTTON_MODE_EXTI)
+ {
+ /* Connect Button EXTI Line to Button GPIO Pin */
+ GPIO_EXTILineConfig(BUTTON_PORT_SOURCE[Button], BUTTON_PIN_SOURCE[Button]);
+
+ /* Configure Button EXTI line */
+ EXTI_InitStructure.EXTI_Line = BUTTON_EXTI_LINE[Button];
+ EXTI_InitStructure.EXTI_Mode = EXTI_Mode_Interrupt;
+
+ if(Button != BUTTON_WAKEUP)
+ {
+ EXTI_InitStructure.EXTI_Trigger = EXTI_Trigger_Falling;
+ }
+ else
+ {
+ EXTI_InitStructure.EXTI_Trigger = EXTI_Trigger_Rising;
+ }
+ EXTI_InitStructure.EXTI_LineCmd = ENABLE;
+ EXTI_Init(&EXTI_InitStructure);
+
+ /* Enable and set Button EXTI Interrupt to the lowest priority */
+ NVIC_InitStructure.NVIC_IRQChannel = BUTTON_IRQn[Button];
+ NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = 0x0F;
+ NVIC_InitStructure.NVIC_IRQChannelSubPriority = 0x0F;
+ NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;
+
+ NVIC_Init(&NVIC_InitStructure);
+ }
+}
+
+/**
+ * @brief Returns the selected Button state.
+ * @param Button: Specifies the Button to be checked.
+ * This parameter can be one of following parameters:
+ * @arg BUTTON_WAKEUP: Wakeup Push Button
+ * @arg BUTTON_TAMPER: Tamper Push Button
+ * @arg BUTTON_KEY: Key Push Button
+ * @arg BUTTON_RIGHT: Joystick Right Push Button
+ * @arg BUTTON_LEFT: Joystick Left Push Button
+ * @arg BUTTON_UP: Joystick Up Push Button
+ * @arg BUTTON_DOWN: Joystick Down Push Button
+ * @arg BUTTON_SEL: Joystick Sel Push Button
+ * @retval The Button GPIO pin value.
+ */
+uint32_t STM_EVAL_PBGetState(Button_TypeDef Button)
+{
+ return GPIO_ReadInputDataBit(BUTTON_PORT[Button], BUTTON_PIN[Button]);
+}
+
+/**
+ * @brief Configures COM port.
+ * @param COM: Specifies the COM port to be configured.
+ * This parameter can be one of following parameters:
+ * @arg COM1
+ * @arg COM2
+ * @param USART_InitStruct: pointer to a USART_InitTypeDef structure that
+ * contains the configuration information for the specified USART peripheral.
+ * @retval None
+ */
+void STM_EVAL_COMInit(COM_TypeDef COM, USART_InitTypeDef* USART_InitStruct)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /* Enable GPIO clock */
+ RCC_APB2PeriphClockCmd(COM_TX_PORT_CLK[COM] | COM_RX_PORT_CLK[COM] | RCC_APB2Periph_AFIO, ENABLE);
+
+ /* Enable UART clock */
+ if (COM == COM1)
+ {
+ RCC_APB2PeriphClockCmd(COM_USART_CLK[COM], ENABLE);
+ }
+ else
+ {
+ RCC_APB1PeriphClockCmd(COM_USART_CLK[COM], ENABLE);
+ }
+
+ /* Configure USART Tx as alternate function push-pull */
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
+ GPIO_InitStructure.GPIO_Pin = COM_TX_PIN[COM];
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_Init(COM_TX_PORT[COM], &GPIO_InitStructure);
+
+ /* Configure USART Rx as input floating */
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_InitStructure.GPIO_Pin = COM_RX_PIN[COM];
+ GPIO_Init(COM_RX_PORT[COM], &GPIO_InitStructure);
+
+ /* USART configuration */
+ USART_Init(COM_USART[COM], USART_InitStruct);
+
+ /* Enable USART */
+ USART_Cmd(COM_USART[COM], ENABLE);
+}
+
+/**
+ * @brief DeInitializes the SD/SD communication.
+ * @param None
+ * @retval None
+ */
+void SD_LowLevel_DeInit(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ SPI_Cmd(SD_SPI, DISABLE); /*!< SD_SPI disable */
+ SPI_I2S_DeInit(SD_SPI); /*!< DeInitializes the SD_SPI */
+
+ /*!< SD_SPI Periph clock disable */
+ RCC_APB1PeriphClockCmd(SD_SPI_CLK, DISABLE);
+
+ /*!< Configure SD_SPI pins: SCK */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_SCK_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(SD_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI pins: MISO */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_MISO_PIN;
+ GPIO_Init(SD_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI pins: MOSI */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_MOSI_PIN;
+ GPIO_Init(SD_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI_CS_PIN pin: SD Card CS pin */
+ GPIO_InitStructure.GPIO_Pin = SD_CS_PIN;
+ GPIO_Init(SD_CS_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI_DETECT_PIN pin: SD Card detect pin */
+ GPIO_InitStructure.GPIO_Pin = SD_DETECT_PIN;
+ GPIO_Init(SD_DETECT_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Initializes the SD_SPI and CS pins.
+ * @param None
+ * @retval None
+ */
+void SD_LowLevel_Init(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+ SPI_InitTypeDef SPI_InitStructure;
+
+ /*!< SD_SPI_CS_GPIO, SD_SPI_MOSI_GPIO, SD_SPI_MISO_GPIO, SD_SPI_DETECT_GPIO
+ and SD_SPI_SCK_GPIO Periph clock enable */
+ RCC_APB2PeriphClockCmd(SD_CS_GPIO_CLK | SD_SPI_MOSI_GPIO_CLK | SD_SPI_MISO_GPIO_CLK |
+ SD_SPI_SCK_GPIO_CLK | SD_DETECT_GPIO_CLK, ENABLE);
+
+ /*!< SD_SPI Periph clock enable */
+ RCC_APB1PeriphClockCmd(SD_SPI_CLK, ENABLE);
+
+ /*!< Configure SD_SPI pins: SCK */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_SCK_PIN;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
+ GPIO_Init(SD_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI pins: MOSI */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_MOSI_PIN;
+ GPIO_Init(SD_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI pins: MISO */
+ GPIO_InitStructure.GPIO_Pin = SD_SPI_MISO_PIN;
+ GPIO_Init(SD_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI_CS_PIN pin: SD Card CS pin */
+ GPIO_InitStructure.GPIO_Pin = SD_CS_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
+ GPIO_Init(SD_CS_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure SD_SPI_DETECT_PIN pin: SD Card detect pin */
+ GPIO_InitStructure.GPIO_Pin = SD_DETECT_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;
+ GPIO_Init(SD_DETECT_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< SD_SPI Config */
+ SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;
+ SPI_InitStructure.SPI_Mode = SPI_Mode_Master;
+ SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;
+ SPI_InitStructure.SPI_CPOL = SPI_CPOL_High;
+ SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge;
+ SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;
+ SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_2;
+ SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;
+ SPI_InitStructure.SPI_CRCPolynomial = 7;
+ SPI_Init(SD_SPI, &SPI_InitStructure);
+
+ SPI_Cmd(SD_SPI, ENABLE); /*!< SD_SPI enable */
+}
+
+/**
+ * @brief DeInitializes peripherals used by the I2C EEPROM driver.
+ * @param None
+ * @retval None
+ */
+void sEE_LowLevel_DeInit(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+ NVIC_InitTypeDef NVIC_InitStructure;
+
+ /* sEE_I2C Peripheral Disable */
+ I2C_Cmd(sEE_I2C, DISABLE);
+
+ /* sEE_I2C DeInit */
+ I2C_DeInit(sEE_I2C);
+
+ /*!< sEE_I2C Periph clock disable */
+ RCC_APB1PeriphClockCmd(sEE_I2C_CLK, DISABLE);
+
+ /*!< GPIO configuration */
+ /*!< Configure sEE_I2C pins: SCL */
+ GPIO_InitStructure.GPIO_Pin = sEE_I2C_SCL_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(sEE_I2C_SCL_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sEE_I2C pins: SDA */
+ GPIO_InitStructure.GPIO_Pin = sEE_I2C_SDA_PIN;
+ GPIO_Init(sEE_I2C_SDA_GPIO_PORT, &GPIO_InitStructure);
+
+ /* Configure and enable I2C DMA TX Channel interrupt */
+ NVIC_InitStructure.NVIC_IRQChannel = sEE_I2C_DMA_TX_IRQn;
+ NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = sEE_I2C_DMA_PREPRIO;
+ NVIC_InitStructure.NVIC_IRQChannelSubPriority = sEE_I2C_DMA_SUBPRIO;
+ NVIC_InitStructure.NVIC_IRQChannelCmd = DISABLE;
+ NVIC_Init(&NVIC_InitStructure);
+
+ /* Configure and enable I2C DMA RX Channel interrupt */
+ NVIC_InitStructure.NVIC_IRQChannel = sEE_I2C_DMA_RX_IRQn;
+ NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = sEE_I2C_DMA_PREPRIO;
+ NVIC_InitStructure.NVIC_IRQChannelSubPriority = sEE_I2C_DMA_SUBPRIO;
+ NVIC_Init(&NVIC_InitStructure);
+
+ /* Disable and Deinitialize the DMA channels */
+ DMA_Cmd(sEE_I2C_DMA_CHANNEL_TX, DISABLE);
+ DMA_Cmd(sEE_I2C_DMA_CHANNEL_RX, DISABLE);
+ DMA_DeInit(sEE_I2C_DMA_CHANNEL_TX);
+ DMA_DeInit(sEE_I2C_DMA_CHANNEL_RX);
+}
+
+/**
+ * @brief Initializes peripherals used by the I2C EEPROM driver.
+ * @param None
+ * @retval None
+ */
+void sEE_LowLevel_Init(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+ NVIC_InitTypeDef NVIC_InitStructure;
+
+ /*!< sEE_I2C_SCL_GPIO_CLK and sEE_I2C_SDA_GPIO_CLK Periph clock enable */
+ RCC_APB2PeriphClockCmd(sEE_I2C_SCL_GPIO_CLK | sEE_I2C_SDA_GPIO_CLK, ENABLE);
+
+ /*!< sEE_I2C Periph clock enable */
+ RCC_APB1PeriphClockCmd(sEE_I2C_CLK, ENABLE);
+
+ /*!< GPIO configuration */
+ /*!< Configure sEE_I2C pins: SCL */
+ GPIO_InitStructure.GPIO_Pin = sEE_I2C_SCL_PIN;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_OD;
+ GPIO_Init(sEE_I2C_SCL_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sEE_I2C pins: SDA */
+ GPIO_InitStructure.GPIO_Pin = sEE_I2C_SDA_PIN;
+ GPIO_Init(sEE_I2C_SDA_GPIO_PORT, &GPIO_InitStructure);
+
+ /* Configure and enable I2C DMA TX Channel interrupt */
+ NVIC_InitStructure.NVIC_IRQChannel = sEE_I2C_DMA_TX_IRQn;
+ NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = sEE_I2C_DMA_PREPRIO;
+ NVIC_InitStructure.NVIC_IRQChannelSubPriority = sEE_I2C_DMA_SUBPRIO;
+ NVIC_InitStructure.NVIC_IRQChannelCmd = ENABLE;
+ NVIC_Init(&NVIC_InitStructure);
+
+ /* Configure and enable I2C DMA RX Channel interrupt */
+ NVIC_InitStructure.NVIC_IRQChannel = sEE_I2C_DMA_RX_IRQn;
+ NVIC_InitStructure.NVIC_IRQChannelPreemptionPriority = sEE_I2C_DMA_PREPRIO;
+ NVIC_InitStructure.NVIC_IRQChannelSubPriority = sEE_I2C_DMA_SUBPRIO;
+ NVIC_Init(&NVIC_InitStructure);
+
+ /*!< I2C DMA TX and RX channels configuration */
+ /* Enable the DMA clock */
+ RCC_AHBPeriphClockCmd(sEE_I2C_DMA_CLK, ENABLE);
+
+ /* I2C TX DMA Channel configuration */
+ DMA_DeInit(sEE_I2C_DMA_CHANNEL_TX);
+ sEEDMA_InitStructure.DMA_PeripheralBaseAddr = (uint32_t)sEE_I2C_DR_Address;
+ sEEDMA_InitStructure.DMA_MemoryBaseAddr = (uint32_t)0; /* This parameter will be configured durig communication */
+ sEEDMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralDST; /* This parameter will be configured durig communication */
+ sEEDMA_InitStructure.DMA_BufferSize = 0xFFFF; /* This parameter will be configured durig communication */
+ sEEDMA_InitStructure.DMA_PeripheralInc = DMA_PeripheralInc_Disable;
+ sEEDMA_InitStructure.DMA_MemoryInc = DMA_MemoryInc_Enable;
+ sEEDMA_InitStructure.DMA_PeripheralDataSize = DMA_MemoryDataSize_Byte;
+ sEEDMA_InitStructure.DMA_MemoryDataSize = DMA_MemoryDataSize_Byte;
+ sEEDMA_InitStructure.DMA_Mode = DMA_Mode_Normal;
+ sEEDMA_InitStructure.DMA_Priority = DMA_Priority_VeryHigh;
+ sEEDMA_InitStructure.DMA_M2M = DMA_M2M_Disable;
+ DMA_Init(sEE_I2C_DMA_CHANNEL_TX, &sEEDMA_InitStructure);
+
+ /* I2C RX DMA Channel configuration */
+ DMA_DeInit(sEE_I2C_DMA_CHANNEL_RX);
+ DMA_Init(sEE_I2C_DMA_CHANNEL_RX, &sEEDMA_InitStructure);
+
+ /* Enable the DMA Channels Interrupts */
+ DMA_ITConfig(sEE_I2C_DMA_CHANNEL_TX, DMA_IT_TC, ENABLE);
+ DMA_ITConfig(sEE_I2C_DMA_CHANNEL_RX, DMA_IT_TC, ENABLE);
+}
+
+/**
+ * @brief Initializes DMA channel used by the I2C EEPROM driver.
+ * @param None
+ * @retval None
+ */
+void sEE_LowLevel_DMAConfig(uint32_t pBuffer, uint32_t BufferSize, uint32_t Direction)
+{
+ /* Initialize the DMA with the new parameters */
+ if (Direction == sEE_DIRECTION_TX)
+ {
+ /* Configure the DMA Tx Channel with the buffer address and the buffer size */
+ sEEDMA_InitStructure.DMA_MemoryBaseAddr = (uint32_t)pBuffer;
+ sEEDMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralDST;
+ sEEDMA_InitStructure.DMA_BufferSize = (uint32_t)BufferSize;
+ DMA_Init(sEE_I2C_DMA_CHANNEL_TX, &sEEDMA_InitStructure);
+ }
+ else
+ {
+ /* Configure the DMA Rx Channel with the buffer address and the buffer size */
+ sEEDMA_InitStructure.DMA_MemoryBaseAddr = (uint32_t)pBuffer;
+ sEEDMA_InitStructure.DMA_DIR = DMA_DIR_PeripheralSRC;
+ sEEDMA_InitStructure.DMA_BufferSize = (uint32_t)BufferSize;
+ DMA_Init(sEE_I2C_DMA_CHANNEL_RX, &sEEDMA_InitStructure);
+ }
+}
+
+/**
+ * @brief DeInitializes the peripherals used by the SPI FLASH driver.
+ * @param None
+ * @retval None
+ */
+void sFLASH_LowLevel_DeInit(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< Disable the sFLASH_SPI */
+ SPI_Cmd(sFLASH_SPI, DISABLE);
+
+ /*!< DeInitializes the sFLASH_SPI */
+ SPI_I2S_DeInit(sFLASH_SPI);
+
+ /*!< sFLASH_SPI Periph clock disable */
+ RCC_APB2PeriphClockCmd(sFLASH_SPI_CLK, DISABLE);
+
+ /*!< Configure sFLASH_SPI pins: SCK */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_SCK_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(sFLASH_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_SPI pins: MISO */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_MISO_PIN;
+ GPIO_Init(sFLASH_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_SPI pins: MOSI */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_MOSI_PIN;
+ GPIO_Init(sFLASH_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_CS_PIN pin: sFLASH Card CS pin */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_CS_PIN;
+ GPIO_Init(sFLASH_CS_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Initializes the peripherals used by the SPI FLASH driver.
+ * @param None
+ * @retval None
+ */
+void sFLASH_LowLevel_Init(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< sFLASH_SPI_CS_GPIO, sFLASH_SPI_MOSI_GPIO, sFLASH_SPI_MISO_GPIO
+ and sFLASH_SPI_SCK_GPIO Periph clock enable */
+ RCC_APB2PeriphClockCmd(sFLASH_CS_GPIO_CLK | sFLASH_SPI_MOSI_GPIO_CLK | sFLASH_SPI_MISO_GPIO_CLK |
+ sFLASH_SPI_SCK_GPIO_CLK, ENABLE);
+
+ /*!< sFLASH_SPI Periph clock enable */
+ RCC_APB2PeriphClockCmd(sFLASH_SPI_CLK, ENABLE);
+
+ /*!< Configure sFLASH_SPI pins: SCK */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_SCK_PIN;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
+ GPIO_Init(sFLASH_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_SPI pins: MOSI */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_MOSI_PIN;
+ GPIO_Init(sFLASH_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_SPI pins: MISO */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_SPI_MISO_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(sFLASH_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure sFLASH_CS_PIN pin: sFLASH Card CS pin */
+ GPIO_InitStructure.GPIO_Pin = sFLASH_CS_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
+ GPIO_Init(sFLASH_CS_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief DeInitializes the LM75_I2C.
+ * @param None
+ * @retval None
+ */
+void LM75_LowLevel_DeInit(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< Disable LM75_I2C */
+ I2C_Cmd(LM75_I2C, DISABLE);
+ /*!< DeInitializes the LM75_I2C */
+ I2C_DeInit(LM75_I2C);
+
+ /*!< LM75_I2C Periph clock disable */
+ RCC_APB1PeriphClockCmd(LM75_I2C_CLK, DISABLE);
+
+ /*!< Configure LM75_I2C pins: SCL */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SCL_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(LM75_I2C_SCL_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure LM75_I2C pins: SDA */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SDA_PIN;
+ GPIO_Init(LM75_I2C_SDA_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure LM75_I2C pin: SMBUS ALERT */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SMBUSALERT_PIN;
+ GPIO_Init(LM75_I2C_SMBUSALERT_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Initializes the LM75_I2C..
+ * @param None
+ * @retval None
+ */
+void LM75_LowLevel_Init(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< LM75_I2C Periph clock enable */
+ RCC_APB1PeriphClockCmd(LM75_I2C_CLK, ENABLE);
+
+ /*!< LM75_I2C_SCL_GPIO_CLK, LM75_I2C_SDA_GPIO_CLK
+ and LM75_I2C_SMBUSALERT_GPIO_CLK Periph clock enable */
+ RCC_APB2PeriphClockCmd(LM75_I2C_SCL_GPIO_CLK | LM75_I2C_SDA_GPIO_CLK |
+ LM75_I2C_SMBUSALERT_GPIO_CLK, ENABLE);
+
+ /*!< Configure LM75_I2C pins: SCL */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SCL_PIN;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_OD;
+ GPIO_Init(LM75_I2C_SCL_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure LM75_I2C pins: SDA */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SDA_PIN;
+ GPIO_Init(LM75_I2C_SDA_GPIO_PORT, &GPIO_InitStructure);
+
+ /*!< Configure LM75_I2C pin: SMBUS ALERT */
+ GPIO_InitStructure.GPIO_Pin = LM75_I2C_SMBUSALERT_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;
+ GPIO_Init(LM75_I2C_SMBUSALERT_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval.h
new file mode 100644
index 0000000..fc6bff2
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval.h
@@ -0,0 +1,393 @@
+/**
+ ******************************************************************************
+ * @file stm32100e_eval.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file contains definitions for STM32100E_EVAL's Leds, push-buttons
+ * COM ports, sFLASH (on SPI) and Temperature Sensor LM75 (on I2C)
+ * hardware resources.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM32100E_EVAL_H
+#define __STM32100E_EVAL_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32_eval.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL_LOW_LEVEL
+ * @{
+ */
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Exported_Types
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Exported_Constants
+ * @{
+ */
+/** @addtogroup STM32100E_EVAL_LOW_LEVEL_LED
+ * @{
+ */
+#define LEDn 4
+
+#define LED1_PIN GPIO_Pin_6
+#define LED1_GPIO_PORT GPIOF
+#define LED1_GPIO_CLK RCC_APB2Periph_GPIOF
+
+#define LED2_PIN GPIO_Pin_7
+#define LED2_GPIO_PORT GPIOF
+#define LED2_GPIO_CLK RCC_APB2Periph_GPIOF
+
+#define LED3_PIN GPIO_Pin_8
+#define LED3_GPIO_PORT GPIOF
+#define LED3_GPIO_CLK RCC_APB2Periph_GPIOF
+
+#define LED4_PIN GPIO_Pin_9
+#define LED4_GPIO_PORT GPIOF
+#define LED4_GPIO_CLK RCC_APB2Periph_GPIOF
+
+/**
+ * @}
+ */
+
+/** @addtogroup STM32100E_EVAL_LOW_LEVEL_BUTTON
+ * @{
+ */
+#define BUTTONn 8
+
+/**
+ * @brief Wakeup push-button
+ */
+#define WAKEUP_BUTTON_PIN GPIO_Pin_0
+#define WAKEUP_BUTTON_GPIO_PORT GPIOA
+#define WAKEUP_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOA
+#define WAKEUP_BUTTON_EXTI_LINE EXTI_Line0
+#define WAKEUP_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOA
+#define WAKEUP_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource0
+#define WAKEUP_BUTTON_EXTI_IRQn EXTI0_IRQn
+/**
+ * @brief Tamper push-button
+ */
+#define TAMPER_BUTTON_PIN GPIO_Pin_13
+#define TAMPER_BUTTON_GPIO_PORT GPIOC
+#define TAMPER_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOC
+#define TAMPER_BUTTON_EXTI_LINE EXTI_Line13
+#define TAMPER_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOC
+#define TAMPER_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource13
+#define TAMPER_BUTTON_EXTI_IRQn EXTI15_10_IRQn
+/**
+ * @brief Key push-button
+ */
+#define KEY_BUTTON_PIN GPIO_Pin_8
+#define KEY_BUTTON_GPIO_PORT GPIOG
+#define KEY_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOG
+#define KEY_BUTTON_EXTI_LINE EXTI_Line8
+#define KEY_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOG
+#define KEY_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource8
+#define KEY_BUTTON_EXTI_IRQn EXTI9_5_IRQn
+/**
+ * @brief Joystick Right push-button
+ */
+#define RIGHT_BUTTON_PIN GPIO_Pin_13
+#define RIGHT_BUTTON_GPIO_PORT GPIOG
+#define RIGHT_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOG
+#define RIGHT_BUTTON_EXTI_LINE EXTI_Line13
+#define RIGHT_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOG
+#define RIGHT_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource13
+#define RIGHT_BUTTON_EXTI_IRQn EXTI15_10_IRQn
+/**
+ * @brief Joystick Left push-button
+ */
+#define LEFT_BUTTON_PIN GPIO_Pin_14
+#define LEFT_BUTTON_GPIO_PORT GPIOG
+#define LEFT_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOG
+#define LEFT_BUTTON_EXTI_LINE EXTI_Line14
+#define LEFT_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOG
+#define LEFT_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource14
+#define LEFT_BUTTON_EXTI_IRQn EXTI15_10_IRQn
+/**
+ * @brief Joystick Up push-button
+ */
+#define UP_BUTTON_PIN GPIO_Pin_15
+#define UP_BUTTON_GPIO_PORT GPIOG
+#define UP_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOG
+#define UP_BUTTON_EXTI_LINE EXTI_Line15
+#define UP_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOG
+#define UP_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource15
+#define UP_BUTTON_EXTI_IRQn EXTI15_10_IRQn
+/**
+ * @brief Joystick Down push-button
+ */
+#define DOWN_BUTTON_PIN GPIO_Pin_11
+#define DOWN_BUTTON_GPIO_PORT GPIOG
+#define DOWN_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOG
+#define DOWN_BUTTON_EXTI_LINE EXTI_Line11
+#define DOWN_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOG
+#define DOWN_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource11
+#define DOWN_BUTTON_EXTI_IRQn EXTI15_10_IRQn
+/**
+ * @brief Joystick Sel push-button
+ */
+#define SEL_BUTTON_PIN GPIO_Pin_7
+#define SEL_BUTTON_GPIO_PORT GPIOG
+#define SEL_BUTTON_GPIO_CLK RCC_APB2Periph_GPIOG
+#define SEL_BUTTON_EXTI_LINE EXTI_Line7
+#define SEL_BUTTON_EXTI_PORT_SOURCE GPIO_PortSourceGPIOG
+#define SEL_BUTTON_EXTI_PIN_SOURCE GPIO_PinSource7
+#define SEL_BUTTON_EXTI_IRQn EXTI9_5_IRQn
+/**
+ * @}
+ */
+
+/** @addtogroup STM32100E_EVAL_LOW_LEVEL_COM
+ * @{
+ */
+#define COMn 2
+
+/**
+ * @brief Definition for COM port1, connected to USART1
+ */
+#define EVAL_COM1 USART1
+#define EVAL_COM1_CLK RCC_APB2Periph_USART1
+#define EVAL_COM1_TX_PIN GPIO_Pin_9
+#define EVAL_COM1_TX_GPIO_PORT GPIOA
+#define EVAL_COM1_TX_GPIO_CLK RCC_APB2Periph_GPIOA
+#define EVAL_COM1_RX_PIN GPIO_Pin_10
+#define EVAL_COM1_RX_GPIO_PORT GPIOA
+#define EVAL_COM1_RX_GPIO_CLK RCC_APB2Periph_GPIOA
+#define EVAL_COM1_IRQn USART1_IRQn
+
+/**
+ * @brief Definition for COM port2, connected to USART2
+ */
+#define EVAL_COM2 USART2
+#define EVAL_COM2_CLK RCC_APB1Periph_USART2
+#define EVAL_COM2_TX_PIN GPIO_Pin_2
+#define EVAL_COM2_TX_GPIO_PORT GPIOA
+#define EVAL_COM2_TX_GPIO_CLK RCC_APB2Periph_GPIOA
+#define EVAL_COM2_RX_PIN GPIO_Pin_3
+#define EVAL_COM2_RX_GPIO_PORT GPIOA
+#define EVAL_COM2_RX_GPIO_CLK RCC_APB2Periph_GPIOA
+#define EVAL_COM2_IRQn USART2_IRQn
+
+/**
+ * @}
+ */
+
+/** @addtogroup STM32100E_EVAL_LOW_LEVEL_SD_FLASH
+ * @{
+ */
+/**
+ * @brief SD SPI Interface pins
+ */
+#define SD_SPI SPI2
+#define SD_SPI_CLK RCC_APB1Periph_SPI2
+#define SD_SPI_SCK_PIN GPIO_Pin_13 /* PC.13 */
+#define SD_SPI_SCK_GPIO_PORT GPIOB /* GPIOB */
+#define SD_SPI_SCK_GPIO_CLK RCC_APB2Periph_GPIOB
+#define SD_SPI_MISO_PIN GPIO_Pin_14 /* PC.14 */
+#define SD_SPI_MISO_GPIO_PORT GPIOB /* GPIOB */
+#define SD_SPI_MISO_GPIO_CLK RCC_APB2Periph_GPIOB
+#define SD_SPI_MOSI_PIN GPIO_Pin_15 /* PB.15 */
+#define SD_SPI_MOSI_GPIO_PORT GPIOB /* GPIOB */
+#define SD_SPI_MOSI_GPIO_CLK RCC_APB2Periph_GPIOB
+#define SD_CS_PIN GPIO_Pin_6 /* PG.06 */
+#define SD_CS_GPIO_PORT GPIOG /* GPIOG */
+#define SD_CS_GPIO_CLK RCC_APB2Periph_GPIOG
+#define SD_DETECT_PIN GPIO_Pin_11 /* PF.11 */
+#define SD_DETECT_GPIO_PORT GPIOF /* GPIOF */
+#define SD_DETECT_GPIO_CLK RCC_APB2Periph_GPIOF
+
+/**
+ * @}
+ */
+
+/** @addtogroup STM3210C_EVAL_LOW_LEVEL_I2C_EE
+ * @{
+ */
+/**
+ * @brief I2C EEPROM Interface pins
+ */
+#define sEE_I2C I2C2
+#define sEE_I2C_CLK RCC_APB1Periph_I2C2
+#define sEE_I2C_SCL_PIN GPIO_Pin_10 /* PB.10 */
+#define sEE_I2C_SCL_GPIO_PORT GPIOB /* GPIOB */
+#define sEE_I2C_SCL_GPIO_CLK RCC_APB2Periph_GPIOB
+#define sEE_I2C_SDA_PIN GPIO_Pin_11 /* PB.11 */
+#define sEE_I2C_SDA_GPIO_PORT GPIOB /* GPIOB */
+#define sEE_I2C_SDA_GPIO_CLK RCC_APB2Periph_GPIOB
+#define sEE_M24C64_32
+
+#define sEE_I2C_DMA DMA1
+#define sEE_I2C_DMA_CHANNEL_TX DMA1_Channel4
+#define sEE_I2C_DMA_CHANNEL_RX DMA1_Channel5
+#define sEE_I2C_DMA_FLAG_TX_TC DMA1_IT_TC4
+#define sEE_I2C_DMA_FLAG_TX_GL DMA1_IT_GL4
+#define sEE_I2C_DMA_FLAG_RX_TC DMA1_IT_TC5
+#define sEE_I2C_DMA_FLAG_RX_GL DMA1_IT_GL5
+#define sEE_I2C_DMA_CLK RCC_AHBPeriph_DMA1
+#define sEE_I2C_DR_Address ((uint32_t)0x40005810)
+#define sEE_USE_DMA
+
+#define sEE_I2C_DMA_TX_IRQn DMA1_Channel4_IRQn
+#define sEE_I2C_DMA_RX_IRQn DMA1_Channel5_IRQn
+#define sEE_I2C_DMA_TX_IRQHandler DMA1_Channel4_IRQHandler
+#define sEE_I2C_DMA_RX_IRQHandler DMA1_Channel5_IRQHandler
+#define sEE_I2C_DMA_PREPRIO 0
+#define sEE_I2C_DMA_SUBPRIO 0
+
+#define sEE_DIRECTION_TX 0
+#define sEE_DIRECTION_RX 1
+
+/* Time constant for the delay caclulation allowing to have a millisecond
+ incrementing counter. This value should be equal to (System Clock / 1000).
+ ie. if system clock = 24MHz then sEE_TIME_CONST should be 24. */
+#define sEE_TIME_CONST 24
+
+/**
+ * @}
+ */
+
+/** @addtogroup STM32100E_EVAL_LOW_LEVEL_M25P_FLASH_SPI
+ * @{
+ */
+/**
+ * @brief M25P FLASH SPI Interface pins
+ */
+#define sFLASH_SPI SPI1
+#define sFLASH_SPI_CLK RCC_APB2Periph_SPI1
+#define sFLASH_SPI_SCK_PIN GPIO_Pin_5 /* PA.05 */
+#define sFLASH_SPI_SCK_GPIO_PORT GPIOA /* GPIOA */
+#define sFLASH_SPI_SCK_GPIO_CLK RCC_APB2Periph_GPIOA
+#define sFLASH_SPI_MISO_PIN GPIO_Pin_6 /* PA.06 */
+#define sFLASH_SPI_MISO_GPIO_PORT GPIOA /* GPIOA */
+#define sFLASH_SPI_MISO_GPIO_CLK RCC_APB2Periph_GPIOA
+#define sFLASH_SPI_MOSI_PIN GPIO_Pin_7 /* PA.07 */
+#define sFLASH_SPI_MOSI_GPIO_PORT GPIOA /* GPIOA */
+#define sFLASH_SPI_MOSI_GPIO_CLK RCC_APB2Periph_GPIOA
+#define sFLASH_CS_PIN GPIO_Pin_6 /* PE.06 */
+#define sFLASH_CS_GPIO_PORT GPIOE /* GPIOE */
+#define sFLASH_CS_GPIO_CLK RCC_APB2Periph_GPIOE
+
+/**
+ * @}
+ */
+
+
+/** @addtogroup STM32100E_EVAL_LOW_LEVEL_TSENSOR_I2C
+ * @{
+ */
+/**
+ * @brief LM75 Temperature Sensor I2C Interface pins
+ */
+#define LM75_I2C I2C2
+#define LM75_I2C_CLK RCC_APB1Periph_I2C2
+#define LM75_I2C_SCL_PIN GPIO_Pin_10 /* PB.10 */
+#define LM75_I2C_SCL_GPIO_PORT GPIOB /* GPIOB */
+#define LM75_I2C_SCL_GPIO_CLK RCC_APB2Periph_GPIOB
+#define LM75_I2C_SDA_PIN GPIO_Pin_11 /* PB.11 */
+#define LM75_I2C_SDA_GPIO_PORT GPIOB /* GPIOB */
+#define LM75_I2C_SDA_GPIO_CLK RCC_APB2Periph_GPIOB
+#define LM75_I2C_SMBUSALERT_PIN GPIO_Pin_12 /* PB.12 */
+#define LM75_I2C_SMBUSALERT_GPIO_PORT GPIOB /* GPIOB */
+#define LM75_I2C_SMBUSALERT_GPIO_CLK RCC_APB2Periph_GPIOB
+#define LM75_I2C_DR ((uint32_t)0x40005810)
+
+#define LM75_DMA_CLK RCC_AHBPeriph_DMA1
+#define LM75_DMA_TX_CHANNEL DMA1_Channel4
+#define LM75_DMA_RX_CHANNEL DMA1_Channel5
+#define LM75_DMA_TX_TCFLAG DMA1_FLAG_TC4
+#define LM75_DMA_RX_TCFLAG DMA1_FLAG_TC5
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Exported_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_LOW_LEVEL_Exported_Functions
+ * @{
+ */
+void STM_EVAL_LEDInit(Led_TypeDef Led);
+void STM_EVAL_LEDOn(Led_TypeDef Led);
+void STM_EVAL_LEDOff(Led_TypeDef Led);
+void STM_EVAL_LEDToggle(Led_TypeDef Led);
+void STM_EVAL_PBInit(Button_TypeDef Button, ButtonMode_TypeDef Button_Mode);
+uint32_t STM_EVAL_PBGetState(Button_TypeDef Button);
+void STM_EVAL_COMInit(COM_TypeDef COM, USART_InitTypeDef* USART_InitStruct);
+void SD_LowLevel_DeInit(void);
+void SD_LowLevel_Init(void);
+void sEE_LowLevel_DeInit(void);
+void sEE_LowLevel_Init(void);
+void sEE_LowLevel_DMAConfig(uint32_t pBuffer, uint32_t BufferSize, uint32_t Direction);
+void sFLASH_LowLevel_DeInit(void);
+void sFLASH_LowLevel_Init(void);
+void LM75_LowLevel_DeInit(void);
+void LM75_LowLevel_Init(void);
+/**
+ * @}
+ */
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __STM32100E_EVAL_H */
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_fsmc_sram.c b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_fsmc_sram.c
new file mode 100644
index 0000000..0111a5a
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_fsmc_sram.c
@@ -0,0 +1,237 @@
+/**
+ ******************************************************************************
+ * @file stm32100e_eval_fsmc_sram.c
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file provides a set of functions needed to drive the
+ * IS61WV102416BLL SRAM memory mounted on STM32100E-EVAL board.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32100e_eval_fsmc_sram.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL_FSMC_SRAM
+ * @brief This file provides a set of functions needed to drive the
+ * IS61WV102416BLL SRAM memory mounted on STM32100E-EVAL board.
+ * @{
+ */
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Private_Types
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Private_Defines
+ * @{
+ */
+/**
+ * @brief FSMC Bank 1 NOR/SRAM3
+ */
+#define Bank1_SRAM3_ADDR ((uint32_t)0x68000000)
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Private_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Private_Variables
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Private_Function_Prototypes
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Private_Functions
+ * @{
+ */
+
+/**
+ * @brief Configures the FSMC and GPIOs to interface with the SRAM memory.
+ * This function must be called before any write/read operation
+ * on the SRAM.
+ * @param None
+ * @retval None
+ */
+void SRAM_Init(void)
+{
+ FSMC_NORSRAMInitTypeDef FSMC_NORSRAMInitStructure;
+ FSMC_NORSRAMTimingInitTypeDef p;
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOD | RCC_APB2Periph_GPIOG | RCC_APB2Periph_GPIOE |
+ RCC_APB2Periph_GPIOF, ENABLE);
+
+/*-- GPIO Configuration ------------------------------------------------------*/
+ /*!< SRAM Data lines configuration */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1 | GPIO_Pin_8 | GPIO_Pin_9 |
+ GPIO_Pin_10 | GPIO_Pin_14 | GPIO_Pin_15;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_Init(GPIOD, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_7 | GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10 |
+ GPIO_Pin_11 | GPIO_Pin_12 | GPIO_Pin_13 | GPIO_Pin_14 |
+ GPIO_Pin_15;
+ GPIO_Init(GPIOE, &GPIO_InitStructure);
+
+ /*!< SRAM Address lines configuration */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1 | GPIO_Pin_2 | GPIO_Pin_3 |
+ GPIO_Pin_4 | GPIO_Pin_5 | GPIO_Pin_12 | GPIO_Pin_13 |
+ GPIO_Pin_14 | GPIO_Pin_15;
+ GPIO_Init(GPIOF, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1 | GPIO_Pin_2 | GPIO_Pin_3 |
+ GPIO_Pin_4 | GPIO_Pin_5;
+ GPIO_Init(GPIOG, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_11 | GPIO_Pin_12 | GPIO_Pin_13;
+ GPIO_Init(GPIOD, &GPIO_InitStructure);
+
+ /*!< NOE and NWE configuration */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_4 |GPIO_Pin_5;
+ GPIO_Init(GPIOD, &GPIO_InitStructure);
+
+ /*!< NE3 configuration */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_10;
+ GPIO_Init(GPIOG, &GPIO_InitStructure);
+
+ /*!< NBL0, NBL1 configuration */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1;
+ GPIO_Init(GPIOE, &GPIO_InitStructure);
+
+/*-- FSMC Configuration ------------------------------------------------------*/
+ p.FSMC_AddressSetupTime = 0;
+ p.FSMC_AddressHoldTime = 0;
+ p.FSMC_DataSetupTime = 3;
+ p.FSMC_BusTurnAroundDuration = 0;
+ p.FSMC_CLKDivision = 0;
+ p.FSMC_DataLatency = 0;
+ p.FSMC_AccessMode = FSMC_AccessMode_A;
+
+ FSMC_NORSRAMInitStructure.FSMC_Bank = FSMC_Bank1_NORSRAM3;
+ FSMC_NORSRAMInitStructure.FSMC_DataAddressMux = FSMC_DataAddressMux_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_MemoryType = FSMC_MemoryType_SRAM;
+ FSMC_NORSRAMInitStructure.FSMC_MemoryDataWidth = FSMC_MemoryDataWidth_16b;
+ FSMC_NORSRAMInitStructure.FSMC_BurstAccessMode = FSMC_BurstAccessMode_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_AsynchronousWait = FSMC_AsynchronousWait_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_WaitSignalPolarity = FSMC_WaitSignalPolarity_Low;
+ FSMC_NORSRAMInitStructure.FSMC_WrapMode = FSMC_WrapMode_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_WaitSignalActive = FSMC_WaitSignalActive_BeforeWaitState;
+ FSMC_NORSRAMInitStructure.FSMC_WriteOperation = FSMC_WriteOperation_Enable;
+ FSMC_NORSRAMInitStructure.FSMC_WaitSignal = FSMC_WaitSignal_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_ExtendedMode = FSMC_ExtendedMode_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_WriteBurst = FSMC_WriteBurst_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_ReadWriteTimingStruct = &p;
+ FSMC_NORSRAMInitStructure.FSMC_WriteTimingStruct = &p;
+
+ FSMC_NORSRAMInit(&FSMC_NORSRAMInitStructure);
+
+ /*!< Enable FSMC Bank1_SRAM Bank */
+ FSMC_NORSRAMCmd(FSMC_Bank1_NORSRAM3, ENABLE);
+}
+
+/**
+ * @brief Writes a Half-word buffer to the FSMC SRAM memory.
+ * @param pBuffer : pointer to buffer.
+ * @param WriteAddr : SRAM memory internal address from which the data will be
+ * written.
+ * @param NumHalfwordToWrite : number of half-words to write.
+ * @retval None
+ */
+void SRAM_WriteBuffer(uint16_t* pBuffer, uint32_t WriteAddr, uint32_t NumHalfwordToWrite)
+{
+ for(; NumHalfwordToWrite != 0; NumHalfwordToWrite--) /*!< while there is data to write */
+ {
+ /*!< Transfer data to the memory */
+ *(uint16_t *) (Bank1_SRAM3_ADDR + WriteAddr) = *pBuffer++;
+
+ /*!< Increment the address*/
+ WriteAddr += 2;
+ }
+}
+
+/**
+ * @brief Reads a block of data from the FSMC SRAM memory.
+ * @param pBuffer : pointer to the buffer that receives the data read from the
+ * SRAM memory.
+ * @param ReadAddr : SRAM memory internal address to read from.
+ * @param NumHalfwordToRead : number of half-words to read.
+ * @retval None
+ */
+void SRAM_ReadBuffer(uint16_t* pBuffer, uint32_t ReadAddr, uint32_t NumHalfwordToRead)
+{
+ for(; NumHalfwordToRead != 0; NumHalfwordToRead--) /*!< while there is data to read */
+ {
+ /*!< Read a half-word from the memory */
+ *pBuffer++ = *(__IO uint16_t*) (Bank1_SRAM3_ADDR + ReadAddr);
+
+ /*!< Increment the address*/
+ ReadAddr += 2;
+ }
+}
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_fsmc_sram.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_fsmc_sram.h
new file mode 100644
index 0000000..117afd0
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_fsmc_sram.h
@@ -0,0 +1,104 @@
+/**
+ ******************************************************************************
+ * @file stm32100e_eval_fsmc_sram.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file contains all the functions prototypes for the
+ * stm32100e_eval_fsmc_sram firmware driver.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM32100E_EVAL_FSMC_SRAM_H
+#define __STM32100E_EVAL_FSMC_SRAM_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32f10x.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL_FSMC_SRAM
+ * @{
+ */
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Exported_Types
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Exported_Constants
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Exported_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_FSMC_SRAM_Exported_Functions
+ * @{
+ */
+
+void SRAM_Init(void);
+void SRAM_WriteBuffer(uint16_t* pBuffer, uint32_t WriteAddr, uint32_t NumHalfwordToWrite);
+void SRAM_ReadBuffer(uint16_t* pBuffer, uint32_t ReadAddr, uint32_t NumHalfwordToRead);
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __STM32100E_EVAL_FSMC_SRAM_H */
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_lcd.c b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_lcd.c
new file mode 100644
index 0000000..fe2bfdf
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_lcd.c
@@ -0,0 +1,1419 @@
+/**
+ ******************************************************************************
+ * @file stm32100e_eval_lcd.c
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file includes the LCD driver for AM-240320L8TNQW00H
+ * (LCD_ILI9320), AM240320D5TOQW01H (LCD_ILI9325) and AM-240320LDTNQW00H
+ * (LCD_SPFD5408B) Liquid Crystal Display Module of STM32100E-EVAL board.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32100e_eval_lcd.h"
+#include "../Common/fonts.c"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL
+ * @{
+ */
+
+/** @defgroup STM32100E_EVAL_LCD
+ * @brief This file includes the LCD driver for AM-240320L8TNQW00H
+ * (LCD_ILI9320), AM240320D5TOQW01H (LCD_ILI9325) and AM-240320LDTNQW00H
+ * (LCD_SPFD5408B) Liquid Crystal Display Module of STM32100E-EVAL board.
+ * @{
+ */
+
+/** @defgroup STM32100E_EVAL_LCD_Private_TypesDefinitions
+ * @{
+ */
+typedef struct
+{
+ __IO uint16_t LCD_REG;
+ __IO uint16_t LCD_RAM;
+} LCD_TypeDef;
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_LCD_Private_Defines
+ * @{
+ */
+/* Note: LCD /CS is CE4 - Bank 4 of NOR/SRAM Bank 1~4 */
+#define LCD_BASE ((uint32_t)(0x60000000 | 0x0C000000))
+#define LCD ((LCD_TypeDef *) LCD_BASE)
+#define MAX_POLY_CORNERS 200
+#define POLY_Y(Z) ((int32_t)((Points + Z)->X))
+#define POLY_X(Z) ((int32_t)((Points + Z)->Y))
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_LCD_Private_Macros
+ * @{
+ */
+#define ABS(X) ((X) > 0 ? (X) : -(X))
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_LCD_Private_Variables
+ * @{
+ */
+static sFONT *LCD_Currentfonts;
+/* Global variables to set the written text color */
+static __IO uint16_t TextColor = 0x0000, BackColor = 0xFFFF;
+
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_LCD_Private_FunctionPrototypes
+ * @{
+ */
+#ifndef USE_Delay
+static void delay(vu32 nCount);
+#endif /* USE_Delay*/
+static void PutPixel(int16_t x, int16_t y);
+static void LCD_PolyLineRelativeClosed(pPoint Points, uint16_t PointCount, uint16_t Closed);
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32100E_EVAL_LCD_Private_Functions
+ * @{
+ */
+
+/**
+ * @brief DeInitializes the LCD.
+ * @param None
+ * @retval None
+ */
+void LCD_DeInit(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< LCD Display Off */
+ LCD_DisplayOff();
+
+ /* BANK 4 (of NOR/SRAM Bank 1~4) is disabled */
+ FSMC_NORSRAMCmd(FSMC_Bank1_NORSRAM4, ENABLE);
+
+ /*!< LCD_SPI DeInit */
+ FSMC_NORSRAMDeInit(FSMC_Bank1_NORSRAM4);
+
+ /* Set PD.00(D2), PD.01(D3), PD.04(NOE), PD.05(NWE), PD.08(D13), PD.09(D14),
+ PD.10(D15), PD.14(D0), PD.15(D1) as input floating */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1 | GPIO_Pin_4 | GPIO_Pin_5 |
+ GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10 | GPIO_Pin_14 |
+ GPIO_Pin_15;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(GPIOD, &GPIO_InitStructure);
+ /* Set PE.07(D4), PE.08(D5), PE.09(D6), PE.10(D7), PE.11(D8), PE.12(D9), PE.13(D10),
+ PE.14(D11), PE.15(D12) as alternate function push pull */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_7 | GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10 |
+ GPIO_Pin_11 | GPIO_Pin_12 | GPIO_Pin_13 | GPIO_Pin_14 |
+ GPIO_Pin_15;
+ GPIO_Init(GPIOE, &GPIO_InitStructure);
+ /* Set PF.00(A0 (RS)) as alternate function push pull */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0;
+ GPIO_Init(GPIOF, &GPIO_InitStructure);
+ /* Set PG.12(NE4 (LCD/CS)) as alternate function push pull - CE3(LCD /CS) */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_12;
+ GPIO_Init(GPIOG, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Initializes the LCD.
+ * @param None
+ * @retval None
+ */
+void STM32100E_LCD_Init(void)
+{
+ __IO uint32_t lcdid = 0;
+
+/* Configure the LCD Control pins --------------------------------------------*/
+ LCD_CtrlLinesConfig();
+/* Configure the FSMC Parallel interface -------------------------------------*/
+ LCD_FSMCConfig();
+
+ _delay_(5); /* delay 50 ms */
+
+ /* Read the LCD ID */
+ lcdid = LCD_ReadReg(0x00);
+
+ /* Check if the LCD is SPFD5408B Controller */
+ if(lcdid == 0x5408)
+ {
+ /* Start Initial Sequence ------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_1, 0x0100); /* Set SS bit */
+ LCD_WriteReg(LCD_REG_2, 0x0700); /* Set 1 line inversion */
+ LCD_WriteReg(LCD_REG_3, 0x1030); /* Set GRAM write direction and BGR=1. */
+ LCD_WriteReg(LCD_REG_4, 0x0000); /* Resize register */
+ LCD_WriteReg(LCD_REG_8, 0x0202); /* Set the back porch and front porch */
+ LCD_WriteReg(LCD_REG_9, 0x0000); /* Set non-display area refresh cycle ISC[3:0] */
+ LCD_WriteReg(LCD_REG_10, 0x0000); /* FMARK function */
+ LCD_WriteReg(LCD_REG_12, 0x0000); /* RGB 18-bit System interface setting */
+ LCD_WriteReg(LCD_REG_13, 0x0000); /* Frame marker Position */
+ LCD_WriteReg(LCD_REG_15, 0x0000); /* RGB interface polarity, no impact */
+ /* Power On sequence -----------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_16, 0x0000); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0000); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ LCD_WriteReg(LCD_REG_18, 0x0000); /* VREG1OUT voltage */
+ LCD_WriteReg(LCD_REG_19, 0x0000); /* VDV[4:0] for VCOM amplitude */
+ _delay_(20); /* Dis-charge capacitor power voltage (200ms) */
+ LCD_WriteReg(LCD_REG_17, 0x0007); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_16, 0x12B0); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_18, 0x01BD); /* External reference voltage= Vci */
+ _delay_(5);
+ LCD_WriteReg(LCD_REG_19, 0x1400); /* VDV[4:0] for VCOM amplitude */
+ LCD_WriteReg(LCD_REG_41, 0x000E); /* VCM[4:0] for VCOMH */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_32, 0x0000); /* GRAM horizontal Address */
+ LCD_WriteReg(LCD_REG_33, 0x013F); /* GRAM Vertical Address */
+ /* Adjust the Gamma Curve (SPFD5408B)-------------------------------------*/
+ LCD_WriteReg(LCD_REG_48, 0x0b0d);
+ LCD_WriteReg(LCD_REG_49, 0x1923);
+ LCD_WriteReg(LCD_REG_50, 0x1c26);
+ LCD_WriteReg(LCD_REG_51, 0x261c);
+ LCD_WriteReg(LCD_REG_52, 0x2419);
+ LCD_WriteReg(LCD_REG_53, 0x0d0b);
+ LCD_WriteReg(LCD_REG_54, 0x1006);
+ LCD_WriteReg(LCD_REG_55, 0x0610);
+ LCD_WriteReg(LCD_REG_56, 0x0706);
+ LCD_WriteReg(LCD_REG_57, 0x0304);
+ LCD_WriteReg(LCD_REG_58, 0x0e05);
+ LCD_WriteReg(LCD_REG_59, 0x0e01);
+ LCD_WriteReg(LCD_REG_60, 0x010e);
+ LCD_WriteReg(LCD_REG_61, 0x050e);
+ LCD_WriteReg(LCD_REG_62, 0x0403);
+ LCD_WriteReg(LCD_REG_63, 0x0607);
+ /* Set GRAM area ---------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_80, 0x0000); /* Horizontal GRAM Start Address */
+ LCD_WriteReg(LCD_REG_81, 0x00EF); /* Horizontal GRAM End Address */
+ LCD_WriteReg(LCD_REG_82, 0x0000); /* Vertical GRAM Start Address */
+ LCD_WriteReg(LCD_REG_83, 0x013F); /* Vertical GRAM End Address */
+ LCD_WriteReg(LCD_REG_96, 0xA700); /* Gate Scan Line */
+ LCD_WriteReg(LCD_REG_97, 0x0001); /* NDL, VLE, REV */
+ LCD_WriteReg(LCD_REG_106, 0x0000); /* set scrolling line */
+ /* Partial Display Control -----------------------------------------------*/
+ LCD_WriteReg(LCD_REG_128, 0x0000);
+ LCD_WriteReg(LCD_REG_129, 0x0000);
+ LCD_WriteReg(LCD_REG_130, 0x0000);
+ LCD_WriteReg(LCD_REG_131, 0x0000);
+ LCD_WriteReg(LCD_REG_132, 0x0000);
+ LCD_WriteReg(LCD_REG_133, 0x0000);
+ /* Panel Control ---------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_144, 0x0010);
+ LCD_WriteReg(LCD_REG_146, 0x0000);
+ LCD_WriteReg(LCD_REG_147, 0x0003);
+ LCD_WriteReg(LCD_REG_149, 0x0110);
+ LCD_WriteReg(LCD_REG_151, 0x0000);
+ LCD_WriteReg(LCD_REG_152, 0x0000);
+ /* Set GRAM write direction and BGR=1
+ I/D=01 (Horizontal : increment, Vertical : decrement)
+ AM=1 (address is updated in vertical writing direction) */
+ LCD_WriteReg(LCD_REG_3, 0x1018);
+ LCD_WriteReg(LCD_REG_7, 0x0112); /* 262K color and display ON */
+ LCD_SetFont(&LCD_DEFAULT_FONT);
+ return;
+ }
+ else if(lcdid == 0x9325) /* Check if the LCD is ILI9325 Controller */
+ {
+ /* Start Initial Sequence ------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_0, 0x0001); /* Start internal OSC. */
+ LCD_WriteReg(LCD_REG_1, 0x0100); /* Set SS and SM bit */
+ LCD_WriteReg(LCD_REG_2, 0x0700); /* Set 1 line inversion */
+ LCD_WriteReg(LCD_REG_3, 0x1018); /* Set GRAM write direction and BGR=1. */
+ LCD_WriteReg(LCD_REG_4, 0x0000); /* Resize register */
+ LCD_WriteReg(LCD_REG_8, 0x0202); /* Set the back porch and front porch */
+ LCD_WriteReg(LCD_REG_9, 0x0000); /* Set non-display area refresh cycle ISC[3:0] */
+ LCD_WriteReg(LCD_REG_10, 0x0000); /* FMARK function */
+ LCD_WriteReg(LCD_REG_12, 0x0000); /* RGB interface setting */
+ LCD_WriteReg(LCD_REG_13, 0x0000); /* Frame marker Position */
+ LCD_WriteReg(LCD_REG_15, 0x0000); /* RGB interface polarity */
+
+ /* Power On sequence -----------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_16, 0x0000); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0000); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ LCD_WriteReg(LCD_REG_18, 0x0000); /* VREG1OUT voltage */
+ LCD_WriteReg(LCD_REG_19, 0x0000); /* VDV[4:0] for VCOM amplitude */
+ _delay_(20); /* Dis-charge capacitor power voltage (200ms) */
+ LCD_WriteReg(LCD_REG_16, 0x17B0); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0137); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_18, 0x0139); /* VREG1OUT voltage */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_19, 0x1d00); /* VDV[4:0] for VCOM amplitude */
+ LCD_WriteReg(LCD_REG_41, 0x0013); /* VCM[4:0] for VCOMH */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_32, 0x0000); /* GRAM horizontal Address */
+ LCD_WriteReg(LCD_REG_33, 0x0000); /* GRAM Vertical Address */
+
+ /* Adjust the Gamma Curve (ILI9325)---------------------------------------*/
+ LCD_WriteReg(LCD_REG_48, 0x0007);
+ LCD_WriteReg(LCD_REG_49, 0x0302);
+ LCD_WriteReg(LCD_REG_50, 0x0105);
+ LCD_WriteReg(LCD_REG_53, 0x0206);
+ LCD_WriteReg(LCD_REG_54, 0x0808);
+ LCD_WriteReg(LCD_REG_55, 0x0206);
+ LCD_WriteReg(LCD_REG_56, 0x0504);
+ LCD_WriteReg(LCD_REG_57, 0x0007);
+ LCD_WriteReg(LCD_REG_60, 0x0105);
+ LCD_WriteReg(LCD_REG_61, 0x0808);
+
+ /* Set GRAM area ---------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_80, 0x0000); /* Horizontal GRAM Start Address */
+ LCD_WriteReg(LCD_REG_81, 0x00EF); /* Horizontal GRAM End Address */
+ LCD_WriteReg(LCD_REG_82, 0x0000); /* Vertical GRAM Start Address */
+ LCD_WriteReg(LCD_REG_83, 0x013F); /* Vertical GRAM End Address */
+
+ LCD_WriteReg(LCD_REG_96, 0xA700); /* Gate Scan Line(GS=1, scan direction is G320~G1) */
+ LCD_WriteReg(LCD_REG_97, 0x0001); /* NDL,VLE, REV */
+ LCD_WriteReg(LCD_REG_106, 0x0000); /* set scrolling line */
+
+ /* Partial Display Control -----------------------------------------------*/
+ LCD_WriteReg(LCD_REG_128, 0x0000);
+ LCD_WriteReg(LCD_REG_129, 0x0000);
+ LCD_WriteReg(LCD_REG_130, 0x0000);
+ LCD_WriteReg(LCD_REG_131, 0x0000);
+ LCD_WriteReg(LCD_REG_132, 0x0000);
+ LCD_WriteReg(LCD_REG_133, 0x0000);
+
+ /* Panel Control ---------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_144, 0x0010);
+ LCD_WriteReg(LCD_REG_146, 0x0000);
+ LCD_WriteReg(LCD_REG_147, 0x0003);
+ LCD_WriteReg(LCD_REG_149, 0x0110);
+ LCD_WriteReg(LCD_REG_151, 0x0000);
+ LCD_WriteReg(LCD_REG_152, 0x0000);
+
+ /* set GRAM write direction and BGR = 1 */
+ /* I/D=00 (Horizontal : increment, Vertical : decrement) */
+ /* AM=1 (address is updated in vertical writing direction) */
+ LCD_WriteReg(LCD_REG_3, 0x1018);
+
+ LCD_WriteReg(LCD_REG_7, 0x0133); /* 262K color and display ON */
+ LCD_SetFont(&LCD_DEFAULT_FONT);
+ return;
+ }
+ /* Check if the LCD is ILI9320 Controller */
+/* Start Initial Sequence ----------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_229,0x8000); /* Set the internal vcore voltage */
+ LCD_WriteReg(LCD_REG_0, 0x0001); /* Start internal OSC. */
+ LCD_WriteReg(LCD_REG_1, 0x0100); /* set SS and SM bit */
+ LCD_WriteReg(LCD_REG_2, 0x0700); /* set 1 line inversion */
+ LCD_WriteReg(LCD_REG_3, 0x1030); /* set GRAM write direction and BGR=1. */
+ LCD_WriteReg(LCD_REG_4, 0x0000); /* Resize register */
+ LCD_WriteReg(LCD_REG_8, 0x0202); /* set the back porch and front porch */
+ LCD_WriteReg(LCD_REG_9, 0x0000); /* set non-display area refresh cycle ISC[3:0] */
+ LCD_WriteReg(LCD_REG_10, 0x0000); /* FMARK function */
+ LCD_WriteReg(LCD_REG_12, 0x0000); /* RGB interface setting */
+ LCD_WriteReg(LCD_REG_13, 0x0000); /* Frame marker Position */
+ LCD_WriteReg(LCD_REG_15, 0x0000); /* RGB interface polarity */
+/* Power On sequence ---------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_16, 0x0000); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0000); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ LCD_WriteReg(LCD_REG_18, 0x0000); /* VREG1OUT voltage */
+ LCD_WriteReg(LCD_REG_19, 0x0000); /* VDV[4:0] for VCOM amplitude */
+ _delay_(20); /* Dis-charge capacitor power voltage (200ms) */
+ LCD_WriteReg(LCD_REG_16, 0x17B0); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0137); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_18, 0x0139); /* VREG1OUT voltage */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_19, 0x1d00); /* VDV[4:0] for VCOM amplitude */
+ LCD_WriteReg(LCD_REG_41, 0x0013); /* VCM[4:0] for VCOMH */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_32, 0x0000); /* GRAM horizontal Address */
+ LCD_WriteReg(LCD_REG_33, 0x0000); /* GRAM Vertical Address */
+/* Adjust the Gamma Curve ----------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_48, 0x0006);
+ LCD_WriteReg(LCD_REG_49, 0x0101);
+ LCD_WriteReg(LCD_REG_50, 0x0003);
+ LCD_WriteReg(LCD_REG_53, 0x0106);
+ LCD_WriteReg(LCD_REG_54, 0x0b02);
+ LCD_WriteReg(LCD_REG_55, 0x0302);
+ LCD_WriteReg(LCD_REG_56, 0x0707);
+ LCD_WriteReg(LCD_REG_57, 0x0007);
+ LCD_WriteReg(LCD_REG_60, 0x0600);
+ LCD_WriteReg(LCD_REG_61, 0x020b);
+
+/* Set GRAM area -------------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_80, 0x0000); /* Horizontal GRAM Start Address */
+ LCD_WriteReg(LCD_REG_81, 0x00EF); /* Horizontal GRAM End Address */
+ LCD_WriteReg(LCD_REG_82, 0x0000); /* Vertical GRAM Start Address */
+ LCD_WriteReg(LCD_REG_83, 0x013F); /* Vertical GRAM End Address */
+ LCD_WriteReg(LCD_REG_96, 0x2700); /* Gate Scan Line */
+ LCD_WriteReg(LCD_REG_97, 0x0001); /* NDL,VLE, REV */
+ LCD_WriteReg(LCD_REG_106, 0x0000); /* set scrolling line */
+/* Partial Display Control ---------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_128, 0x0000);
+ LCD_WriteReg(LCD_REG_129, 0x0000);
+ LCD_WriteReg(LCD_REG_130, 0x0000);
+ LCD_WriteReg(LCD_REG_131, 0x0000);
+ LCD_WriteReg(LCD_REG_132, 0x0000);
+ LCD_WriteReg(LCD_REG_133, 0x0000);
+/* Panel Control -------------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_144, 0x0010);
+ LCD_WriteReg(LCD_REG_146, 0x0000);
+ LCD_WriteReg(LCD_REG_147, 0x0003);
+ LCD_WriteReg(LCD_REG_149, 0x0110);
+ LCD_WriteReg(LCD_REG_151, 0x0000);
+ LCD_WriteReg(LCD_REG_152, 0x0000);
+ /* Set GRAM write direction and BGR = 1 */
+ /* I/D=01 (Horizontal : increment, Vertical : decrement) */
+ /* AM=1 (address is updated in vertical writing direction) */
+ LCD_WriteReg(LCD_REG_3, 0x1018);
+ LCD_WriteReg(LCD_REG_7, 0x0173); /* 262K color and display ON */
+ LCD_SetFont(&LCD_DEFAULT_FONT);
+}
+
+/**
+ * @brief Sets the LCD Text and Background colors.
+ * @param _TextColor: specifies the Text Color.
+ * @param _BackColor: specifies the Background Color.
+ * @retval None
+ */
+void LCD_SetColors(__IO uint16_t _TextColor, __IO uint16_t _BackColor)
+{
+ TextColor = _TextColor;
+ BackColor = _BackColor;
+}
+
+/**
+ * @brief Gets the LCD Text and Background colors.
+ * @param _TextColor: pointer to the variable that will contain the Text
+ Color.
+ * @param _BackColor: pointer to the variable that will contain the Background
+ Color.
+ * @retval None
+ */
+void LCD_GetColors(__IO uint16_t *_TextColor, __IO uint16_t *_BackColor)
+{
+ *_TextColor = TextColor; *_BackColor = BackColor;
+}
+
+/**
+ * @brief Sets the Text color.
+ * @param Color: specifies the Text color code RGB(5-6-5).
+ * @retval None
+ */
+void LCD_SetTextColor(__IO uint16_t Color)
+{
+ TextColor = Color;
+}
+
+
+/**
+ * @brief Sets the Background color.
+ * @param Color: specifies the Background color code RGB(5-6-5).
+ * @retval None
+ */
+void LCD_SetBackColor(__IO uint16_t Color)
+{
+ BackColor = Color;
+}
+
+/**
+ * @brief Sets the Text Font.
+ * @param fonts: specifies the font to be used.
+ * @retval None
+ */
+void LCD_SetFont(sFONT *fonts)
+{
+ LCD_Currentfonts = fonts;
+}
+
+/**
+ * @brief Gets the Text Font.
+ * @param None.
+ * @retval the used font.
+ */
+sFONT *LCD_GetFont(void)
+{
+ return LCD_Currentfonts;
+}
+
+/**
+ * @brief Clears the selected line.
+ * @param Line: the Line to be cleared.
+ * This parameter can be one of the following values:
+ * @arg Linex: where x can be 0..n
+ * @retval None
+ */
+void LCD_ClearLine(uint8_t Line)
+{
+ uint16_t refcolumn = LCD_PIXEL_WIDTH - 1;
+ /* Send the string character by character on lCD */
+ while (((refcolumn + 1)&0xFFFF) >= LCD_Currentfonts->Width)
+ {
+ /* Display one character on LCD */
+ LCD_DisplayChar(Line, refcolumn, ' ');
+ /* Decrement the column position by 16 */
+ refcolumn -= LCD_Currentfonts->Width;
+ }
+}
+
+
+/**
+ * @brief Clears the hole LCD.
+ * @param Color: the color of the background.
+ * @retval None
+ */
+void LCD_Clear(uint16_t Color)
+{
+ uint32_t index = 0;
+
+ LCD_SetCursor(0x00, 0x013F);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ for(index = 0; index < 76800; index++)
+ {
+ LCD->LCD_RAM = Color;
+ }
+}
+
+
+/**
+ * @brief Sets the cursor position.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @retval None
+ */
+void LCD_SetCursor(uint8_t Xpos, uint16_t Ypos)
+{
+ LCD_WriteReg(LCD_REG_32, Xpos);
+ LCD_WriteReg(LCD_REG_33, Ypos);
+}
+
+
+/**
+ * @brief Draws a character on LCD.
+ * @param Xpos: the Line where to display the character shape.
+ * @param Ypos: start column address.
+ * @param c: pointer to the character data.
+ * @retval None
+ */
+void LCD_DrawChar(uint8_t Xpos, uint16_t Ypos, const uint16_t *c)
+{
+ uint32_t index = 0, i = 0;
+ uint8_t Xaddress = 0;
+
+ Xaddress = Xpos;
+
+ LCD_SetCursor(Xaddress, Ypos);
+
+ for(index = 0; index < LCD_Currentfonts->Height; index++)
+ {
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ for(i = 0; i < LCD_Currentfonts->Width; i++)
+ {
+ if((((c[index] & ((0x80 << ((LCD_Currentfonts->Width / 12 ) * 8 ) ) >> i)) == 0x00) &&(LCD_Currentfonts->Width <= 12))||
+ (((c[index] & (0x1 << i)) == 0x00)&&(LCD_Currentfonts->Width > 12 )))
+
+ {
+ LCD_WriteRAM(BackColor);
+ }
+ else
+ {
+ LCD_WriteRAM(TextColor);
+ }
+ }
+ Xaddress++;
+ LCD_SetCursor(Xaddress, Ypos);
+ }
+}
+
+
+/**
+ * @brief Displays one character (16dots width, 24dots height).
+ * @param Line: the Line where to display the character shape .
+ * This parameter can be one of the following values:
+ * @arg Linex: where x can be 0..9
+ * @param Column: start column address.
+ * @param Ascii: character ascii code, must be between 0x20 and 0x7E.
+ * @retval None
+ */
+void LCD_DisplayChar(uint8_t Line, uint16_t Column, uint8_t Ascii)
+{
+ Ascii -= 32;
+ LCD_DrawChar(Line, Column, &LCD_Currentfonts->table[Ascii * LCD_Currentfonts->Height]);
+}
+
+
+/**
+ * @brief Displays a maximum of 20 char on the LCD.
+ * @param Line: the Line where to display the character shape .
+ * This parameter can be one of the following values:
+ * @arg Linex: where x can be 0..9
+ * @param *ptr: pointer to string to display on LCD.
+ * @retval None
+ */
+void LCD_DisplayStringLine(uint8_t Line, uint8_t *ptr)
+{
+ uint16_t refcolumn = LCD_PIXEL_WIDTH - 1;
+
+ /* Send the string character by character on lCD */
+ while ((*ptr != 0) & (((refcolumn + 1) & 0xFFFF) >= LCD_Currentfonts->Width))
+ {
+ /* Display one character on LCD */
+ LCD_DisplayChar(Line, refcolumn, *ptr);
+ /* Decrement the column position by 16 */
+ refcolumn -= LCD_Currentfonts->Width;
+ /* Point on the next character */
+ ptr++;
+ }
+}
+
+
+/**
+ * @brief Sets a display window
+ * @param Xpos: specifies the X buttom left position.
+ * @param Ypos: specifies the Y buttom left position.
+ * @param Height: display window height.
+ * @param Width: display window width.
+ * @retval None
+ */
+void LCD_SetDisplayWindow(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width)
+{
+ /* Horizontal GRAM Start Address */
+ if(Xpos >= Height)
+ {
+ LCD_WriteReg(LCD_REG_80, (Xpos - Height + 1));
+ }
+ else
+ {
+ LCD_WriteReg(LCD_REG_80, 0);
+ }
+ /* Horizontal GRAM End Address */
+ LCD_WriteReg(LCD_REG_81, Xpos);
+ /* Vertical GRAM Start Address */
+ if(Ypos >= Width)
+ {
+ LCD_WriteReg(LCD_REG_82, (Ypos - Width + 1));
+ }
+ else
+ {
+ LCD_WriteReg(LCD_REG_82, 0);
+ }
+ /* Vertical GRAM End Address */
+ LCD_WriteReg(LCD_REG_83, Ypos);
+ LCD_SetCursor(Xpos, Ypos);
+}
+
+
+/**
+ * @brief Disables LCD Window mode.
+ * @param None
+ * @retval None
+ */
+void LCD_WindowModeDisable(void)
+{
+ LCD_SetDisplayWindow(239, 0x13F, 240, 320);
+ LCD_WriteReg(LCD_REG_3, 0x1018);
+}
+
+
+/**
+ * @brief Displays a line.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Length: line length.
+ * @param Direction: line direction.
+ * This parameter can be one of the following values: Vertical or Horizontal.
+ * @retval None
+ */
+void LCD_DrawLine(uint8_t Xpos, uint16_t Ypos, uint16_t Length, uint8_t Direction)
+{
+ uint32_t i = 0;
+
+ LCD_SetCursor(Xpos, Ypos);
+ if(Direction == LCD_DIR_HORIZONTAL)
+ {
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ for(i = 0; i < Length; i++)
+ {
+ LCD_WriteRAM(TextColor);
+ }
+ }
+ else
+ {
+ for(i = 0; i < Length; i++)
+ {
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ LCD_WriteRAM(TextColor);
+ Xpos++;
+ LCD_SetCursor(Xpos, Ypos);
+ }
+ }
+}
+
+
+/**
+ * @brief Displays a rectangle.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Height: display rectangle height.
+ * @param Width: display rectangle width.
+ * @retval None
+ */
+void LCD_DrawRect(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width)
+{
+ LCD_DrawLine(Xpos, Ypos, Width, LCD_DIR_HORIZONTAL);
+ LCD_DrawLine((Xpos + Height), Ypos, Width, LCD_DIR_HORIZONTAL);
+
+ LCD_DrawLine(Xpos, Ypos, Height, LCD_DIR_VERTICAL);
+ LCD_DrawLine(Xpos, (Ypos - Width + 1), Height, LCD_DIR_VERTICAL);
+}
+
+
+/**
+ * @brief Displays a circle.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Radius
+ * @retval None
+ */
+void LCD_DrawCircle(uint8_t Xpos, uint16_t Ypos, uint16_t Radius)
+{
+ int32_t D;/* Decision Variable */
+ uint32_t CurX;/* Current X Value */
+ uint32_t CurY;/* Current Y Value */
+
+ D = 3 - (Radius << 1);
+ CurX = 0;
+ CurY = Radius;
+
+ while (CurX <= CurY)
+ {
+ LCD_SetCursor(Xpos + CurX, Ypos + CurY);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ LCD_WriteRAM(TextColor);
+ LCD_SetCursor(Xpos + CurX, Ypos - CurY);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ LCD_WriteRAM(TextColor);
+ LCD_SetCursor(Xpos - CurX, Ypos + CurY);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ LCD_WriteRAM(TextColor);
+ LCD_SetCursor(Xpos - CurX, Ypos - CurY);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ LCD_WriteRAM(TextColor);
+ LCD_SetCursor(Xpos + CurY, Ypos + CurX);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ LCD_WriteRAM(TextColor);
+ LCD_SetCursor(Xpos + CurY, Ypos - CurX);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ LCD_WriteRAM(TextColor);
+ LCD_SetCursor(Xpos - CurY, Ypos + CurX);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ LCD_WriteRAM(TextColor);
+ LCD_SetCursor(Xpos - CurY, Ypos - CurX);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ LCD_WriteRAM(TextColor);
+ if (D < 0)
+ {
+ D += (CurX << 2) + 6;
+ }
+ else
+ {
+ D += ((CurX - CurY) << 2) + 10;
+ CurY--;
+ }
+ CurX++;
+ }
+}
+
+
+/**
+ * @brief Displays a monocolor picture.
+ * @param Pict: pointer to the picture array.
+ * @retval None
+ */
+void LCD_DrawMonoPict(const uint32_t *Pict)
+{
+ uint32_t index = 0, i = 0;
+ LCD_SetCursor(0, (LCD_PIXEL_WIDTH - 1));
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ for(index = 0; index < 2400; index++)
+ {
+ for(i = 0; i < 32; i++)
+ {
+ if((Pict[index] & (1 << i)) == 0x00)
+ {
+ LCD_WriteRAM(BackColor);
+ }
+ else
+ {
+ LCD_WriteRAM(TextColor);
+ }
+ }
+ }
+}
+
+
+/**
+ * @brief Displays a bitmap picture loaded in the internal Flash.
+ * @param BmpAddress: Bmp picture address in the internal Flash.
+ * @retval None
+ */
+void LCD_WriteBMP(uint32_t BmpAddress)
+{
+ uint32_t index = 0, size = 0;
+ /* Read bitmap size */
+ size = *(__IO uint16_t *) (BmpAddress + 2);
+ size |= (*(__IO uint16_t *) (BmpAddress + 4)) << 16;
+ /* Get bitmap data address offset */
+ index = *(__IO uint16_t *) (BmpAddress + 10);
+ index |= (*(__IO uint16_t *) (BmpAddress + 12)) << 16;
+ size = (size - index)/2;
+ BmpAddress += index;
+ /* Set GRAM write direction and BGR = 1 */
+ /* I/D=00 (Horizontal : decrement, Vertical : decrement) */
+ /* AM=1 (address is updated in vertical writing direction) */
+ LCD_WriteReg(LCD_REG_3, 0x1008);
+
+ LCD_WriteRAM_Prepare();
+
+ for(index = 0; index < size; index++)
+ {
+ LCD_WriteRAM(*(__IO uint16_t *)BmpAddress);
+ BmpAddress += 2;
+ }
+
+ /* Set GRAM write direction and BGR = 1 */
+ /* I/D = 01 (Horizontal : increment, Vertical : decrement) */
+ /* AM = 1 (address is updated in vertical writing direction) */
+ LCD_WriteReg(LCD_REG_3, 0x1018);
+}
+
+/**
+ * @brief Displays a full rectangle.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Height: rectangle height.
+ * @param Width: rectangle width.
+ * @retval None
+ */
+void LCD_DrawFullRect(uint16_t Xpos, uint16_t Ypos, uint16_t Width, uint16_t Height)
+{
+ LCD_SetTextColor(TextColor);
+
+ LCD_DrawLine(Xpos, Ypos, Width, LCD_DIR_HORIZONTAL);
+ LCD_DrawLine((Xpos + Height), Ypos, Width, LCD_DIR_HORIZONTAL);
+
+ LCD_DrawLine(Xpos, Ypos, Height, LCD_DIR_VERTICAL);
+ LCD_DrawLine(Xpos, (Ypos - Width + 1), Height, LCD_DIR_VERTICAL);
+
+ Width -= 2;
+ Height--;
+ Ypos--;
+
+ LCD_SetTextColor(BackColor);
+
+ while(Height--)
+ {
+ LCD_DrawLine(++Xpos, Ypos, Width, LCD_DIR_HORIZONTAL);
+ }
+
+ LCD_SetTextColor(TextColor);
+}
+
+/**
+ * @brief Displays a full circle.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Radius
+ * @retval None
+ */
+void LCD_DrawFullCircle(uint16_t Xpos, uint16_t Ypos, uint16_t Radius)
+{
+ int32_t D; /* Decision Variable */
+ uint32_t CurX;/* Current X Value */
+ uint32_t CurY;/* Current Y Value */
+
+ D = 3 - (Radius << 1);
+
+ CurX = 0;
+ CurY = Radius;
+
+ LCD_SetTextColor(BackColor);
+
+ while (CurX <= CurY)
+ {
+ if(CurY > 0)
+ {
+ LCD_DrawLine(Xpos - CurX, Ypos + CurY, 2*CurY, LCD_DIR_HORIZONTAL);
+ LCD_DrawLine(Xpos + CurX, Ypos + CurY, 2*CurY, LCD_DIR_HORIZONTAL);
+ }
+
+ if(CurX > 0)
+ {
+ LCD_DrawLine(Xpos - CurY, Ypos + CurX, 2*CurX, LCD_DIR_HORIZONTAL);
+ LCD_DrawLine(Xpos + CurY, Ypos + CurX, 2*CurX, LCD_DIR_HORIZONTAL);
+ }
+ if (D < 0)
+ {
+ D += (CurX << 2) + 6;
+ }
+ else
+ {
+ D += ((CurX - CurY) << 2) + 10;
+ CurY--;
+ }
+ CurX++;
+ }
+
+ LCD_SetTextColor(TextColor);
+ LCD_DrawCircle(Xpos, Ypos, Radius);
+}
+
+/**
+ * @brief Displays an uni line (between two points).
+ * @param x1: specifies the point 1 x position.
+ * @param y1: specifies the point 1 y position.
+ * @param x2: specifies the point 2 x position.
+ * @param y2: specifies the point 2 y position.
+ * @retval None
+ */
+void LCD_DrawUniLine(uint16_t x1, uint16_t y1, uint16_t x2, uint16_t y2)
+{
+ int16_t deltax = 0, deltay = 0, x = 0, y = 0, xinc1 = 0, xinc2 = 0,
+ yinc1 = 0, yinc2 = 0, den = 0, num = 0, numadd = 0, numpixels = 0,
+ curpixel = 0;
+
+ deltax = ABS(x2 - x1); /* The difference between the x's */
+ deltay = ABS(y2 - y1); /* The difference between the y's */
+ x = x1; /* Start x off at the first pixel */
+ y = y1; /* Start y off at the first pixel */
+
+ if (x2 >= x1) /* The x-values are increasing */
+ {
+ xinc1 = 1;
+ xinc2 = 1;
+ }
+ else /* The x-values are decreasing */
+ {
+ xinc1 = -1;
+ xinc2 = -1;
+ }
+
+ if (y2 >= y1) /* The y-values are increasing */
+ {
+ yinc1 = 1;
+ yinc2 = 1;
+ }
+ else /* The y-values are decreasing */
+ {
+ yinc1 = -1;
+ yinc2 = -1;
+ }
+
+ if (deltax >= deltay) /* There is at least one x-value for every y-value */
+ {
+ xinc1 = 0; /* Don't change the x when numerator >= denominator */
+ yinc2 = 0; /* Don't change the y for every iteration */
+ den = deltax;
+ num = deltax / 2;
+ numadd = deltay;
+ numpixels = deltax; /* There are more x-values than y-values */
+ }
+ else /* There is at least one y-value for every x-value */
+ {
+ xinc2 = 0; /* Don't change the x for every iteration */
+ yinc1 = 0; /* Don't change the y when numerator >= denominator */
+ den = deltay;
+ num = deltay / 2;
+ numadd = deltax;
+ numpixels = deltay; /* There are more y-values than x-values */
+ }
+
+ for (curpixel = 0; curpixel <= numpixels; curpixel++)
+ {
+ PutPixel(x, y); /* Draw the current pixel */
+ num += numadd; /* Increase the numerator by the top of the fraction */
+ if (num >= den) /* Check if numerator >= denominator */
+ {
+ num -= den; /* Calculate the new numerator value */
+ x += xinc1; /* Change the x as appropriate */
+ y += yinc1; /* Change the y as appropriate */
+ }
+ x += xinc2; /* Change the x as appropriate */
+ y += yinc2; /* Change the y as appropriate */
+ }
+}
+
+/**
+ * @brief Displays an polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_PolyLine(pPoint Points, uint16_t PointCount)
+{
+ int16_t X = 0, Y = 0;
+
+ if(PointCount < 2)
+ {
+ return;
+ }
+
+ while(--PointCount)
+ {
+ X = Points->X;
+ Y = Points->Y;
+ Points++;
+ LCD_DrawUniLine(X, Y, Points->X, Points->Y);
+ }
+}
+
+/**
+ * @brief Displays an relative polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @param Closed: specifies if the draw is closed or not.
+ * 1: closed, 0 : not closed.
+ * @retval None
+ */
+static void LCD_PolyLineRelativeClosed(pPoint Points, uint16_t PointCount, uint16_t Closed)
+{
+ int16_t X = 0, Y = 0;
+ pPoint First = Points;
+
+ if(PointCount < 2)
+ {
+ return;
+ }
+ X = Points->X;
+ Y = Points->Y;
+ while(--PointCount)
+ {
+ Points++;
+ LCD_DrawUniLine(X, Y, X + Points->X, Y + Points->Y);
+ X = X + Points->X;
+ Y = Y + Points->Y;
+ }
+ if(Closed)
+ {
+ LCD_DrawUniLine(First->X, First->Y, X, Y);
+ }
+}
+
+/**
+ * @brief Displays a closed polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_ClosedPolyLine(pPoint Points, uint16_t PointCount)
+{
+ LCD_PolyLine(Points, PointCount);
+ LCD_DrawUniLine(Points->X, Points->Y, (Points+PointCount-1)->X, (Points+PointCount-1)->Y);
+}
+
+/**
+ * @brief Displays a relative polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_PolyLineRelative(pPoint Points, uint16_t PointCount)
+{
+ LCD_PolyLineRelativeClosed(Points, PointCount, 0);
+}
+
+/**
+ * @brief Displays a closed relative polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_ClosedPolyLineRelative(pPoint Points, uint16_t PointCount)
+{
+ LCD_PolyLineRelativeClosed(Points, PointCount, 1);
+}
+
+
+/**
+ * @brief Displays a full polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_FillPolyLine(pPoint Points, uint16_t PointCount)
+{
+ /* public-domain code by Darel Rex Finley, 2007 */
+ uint16_t nodes = 0, nodeX[MAX_POLY_CORNERS], pixelX = 0, pixelY = 0, i = 0,
+ j = 0, swap = 0;
+ uint16_t IMAGE_LEFT = 0, IMAGE_RIGHT = 0, IMAGE_TOP = 0, IMAGE_BOTTOM = 0;
+
+ IMAGE_LEFT = IMAGE_RIGHT = Points->X;
+ IMAGE_TOP= IMAGE_BOTTOM = Points->Y;
+
+ for(i = 1; i < PointCount; i++)
+ {
+ pixelX = POLY_X(i);
+ if(pixelX < IMAGE_LEFT)
+ {
+ IMAGE_LEFT = pixelX;
+ }
+ if(pixelX > IMAGE_RIGHT)
+ {
+ IMAGE_RIGHT = pixelX;
+ }
+
+ pixelY = POLY_Y(i);
+ if(pixelY < IMAGE_TOP)
+ {
+ IMAGE_TOP = pixelY;
+ }
+ if(pixelY > IMAGE_BOTTOM)
+ {
+ IMAGE_BOTTOM = pixelY;
+ }
+ }
+
+ LCD_SetTextColor(BackColor);
+
+ /* Loop through the rows of the image. */
+ for (pixelY = IMAGE_TOP; pixelY < IMAGE_BOTTOM; pixelY++)
+ {
+ /* Build a list of nodes. */
+ nodes = 0; j = PointCount-1;
+
+ for (i = 0; i < PointCount; i++)
+ {
+ if (POLY_Y(i)<(double) pixelY && POLY_Y(j)>=(double) pixelY || POLY_Y(j)<(double) pixelY && POLY_Y(i)>=(double) pixelY)
+ {
+ nodeX[nodes++]=(int) (POLY_X(i)+((pixelY-POLY_Y(i))*(POLY_X(j)-POLY_X(i)))/(POLY_Y(j)-POLY_Y(i)));
+ }
+ j = i;
+ }
+
+ /* Sort the nodes, via a simple "Bubble" sort. */
+ i = 0;
+ while (i < nodes-1)
+ {
+ if (nodeX[i]>nodeX[i+1])
+ {
+ swap = nodeX[i];
+ nodeX[i] = nodeX[i+1];
+ nodeX[i+1] = swap;
+ if(i)
+ {
+ i--;
+ }
+ }
+ else
+ {
+ i++;
+ }
+ }
+
+ /* Fill the pixels between node pairs. */
+ for (i = 0; i < nodes; i+=2)
+ {
+ if(nodeX[i] >= IMAGE_RIGHT)
+ {
+ break;
+ }
+ if(nodeX[i+1] > IMAGE_LEFT)
+ {
+ if (nodeX[i] < IMAGE_LEFT)
+ {
+ nodeX[i]=IMAGE_LEFT;
+ }
+ if(nodeX[i+1] > IMAGE_RIGHT)
+ {
+ nodeX[i+1] = IMAGE_RIGHT;
+ }
+ LCD_SetTextColor(BackColor);
+ LCD_DrawLine(pixelY, nodeX[i+1], nodeX[i+1] - nodeX[i], LCD_DIR_HORIZONTAL);
+ LCD_SetTextColor(TextColor);
+ PutPixel(pixelY, nodeX[i+1]);
+ PutPixel(pixelY, nodeX[i]);
+ /* for (j=nodeX[i]; j<nodeX[i+1]; j++) PutPixel(j,pixelY); */
+ }
+ }
+ }
+
+ /* draw the edges */
+ LCD_SetTextColor(TextColor);
+}
+
+/**
+ * @brief Writes to the selected LCD register.
+ * @param LCD_Reg: address of the selected register.
+ * @param LCD_RegValue: value to write to the selected register.
+ * @retval None
+ */
+void LCD_WriteReg(uint8_t LCD_Reg, uint16_t LCD_RegValue)
+{
+ /* Write 16-bit Index, then Write Reg */
+ LCD->LCD_REG = LCD_Reg;
+ /* Write 16-bit Reg */
+ LCD->LCD_RAM = LCD_RegValue;
+}
+
+
+/**
+ * @brief Reads the selected LCD Register.
+ * @param LCD_Reg: address of the selected register.
+ * @retval LCD Register Value.
+ */
+uint16_t LCD_ReadReg(uint8_t LCD_Reg)
+{
+ /* Write 16-bit Index (then Read Reg) */
+ LCD->LCD_REG = LCD_Reg;
+ /* Read 16-bit Reg */
+ return (LCD->LCD_RAM);
+}
+
+
+/**
+ * @brief Prepare to write to the LCD RAM.
+ * @param None
+ * @retval None
+ */
+void LCD_WriteRAM_Prepare(void)
+{
+ LCD->LCD_REG = LCD_REG_34;
+}
+
+
+/**
+ * @brief Writes to the LCD RAM.
+ * @param RGB_Code: the pixel color in RGB mode (5-6-5).
+ * @retval None
+ */
+void LCD_WriteRAM(uint16_t RGB_Code)
+{
+ /* Write 16-bit GRAM Reg */
+ LCD->LCD_RAM = RGB_Code;
+}
+
+
+/**
+ * @brief Reads the LCD RAM.
+ * @param None
+ * @retval LCD RAM Value.
+ */
+uint16_t LCD_ReadRAM(void)
+{
+ /* Write 16-bit Index (then Read Reg) */
+ LCD->LCD_REG = LCD_REG_34; /* Select GRAM Reg */
+ /* Read 16-bit Reg */
+ return LCD->LCD_RAM;
+}
+
+
+/**
+ * @brief Power on the LCD.
+ * @param None
+ * @retval None
+ */
+void LCD_PowerOn(void)
+{
+/* Power On sequence ---------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_16, 0x0000); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0000); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ LCD_WriteReg(LCD_REG_18, 0x0000); /* VREG1OUT voltage */
+ LCD_WriteReg(LCD_REG_19, 0x0000); /* VDV[4:0] for VCOM amplitude*/
+ _delay_(20); /* Dis-charge capacitor power voltage (200ms) */
+ LCD_WriteReg(LCD_REG_16, 0x17B0); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0137); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_18, 0x0139); /* VREG1OUT voltage */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_19, 0x1d00); /* VDV[4:0] for VCOM amplitude */
+ LCD_WriteReg(LCD_REG_41, 0x0013); /* VCM[4:0] for VCOMH */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_7, 0x0173); /* 262K color and display ON */
+}
+
+
+/**
+ * @brief Enables the Display.
+ * @param None
+ * @retval None
+ */
+void LCD_DisplayOn(void)
+{
+ /* Display On */
+ LCD_WriteReg(LCD_REG_7, 0x0173); /* 262K color and display ON */
+}
+
+
+/**
+ * @brief Disables the Display.
+ * @param None
+ * @retval None
+ */
+void LCD_DisplayOff(void)
+{
+ /* Display Off */
+ LCD_WriteReg(LCD_REG_7, 0x0);
+}
+
+
+/**
+ * @brief Configures LCD Control lines (FSMC Pins) in alternate function mode.
+ * @param None
+ * @retval None
+ */
+void LCD_CtrlLinesConfig(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /* Enable FSMC, GPIOD, GPIOE, GPIOF, GPIOG and AFIO clocks */
+ RCC_AHBPeriphClockCmd(RCC_AHBPeriph_FSMC, ENABLE);
+ RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOD | RCC_APB2Periph_GPIOE |
+ RCC_APB2Periph_GPIOF | RCC_APB2Periph_GPIOG |
+ RCC_APB2Periph_AFIO, ENABLE);
+
+ /* Set PD.00(D2), PD.01(D3), PD.04(NOE), PD.05(NWE), PD.08(D13), PD.09(D14),
+ PD.10(D15), PD.14(D0), PD.15(D1) as alternate function push pull */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1 | GPIO_Pin_4 | GPIO_Pin_5 |
+ GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10 | GPIO_Pin_14 |
+ GPIO_Pin_15;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
+ GPIO_Init(GPIOD, &GPIO_InitStructure);
+
+ /* Set PE.07(D4), PE.08(D5), PE.09(D6), PE.10(D7), PE.11(D8), PE.12(D9), PE.13(D10),
+ PE.14(D11), PE.15(D12) as alternate function push pull */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_7 | GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10 |
+ GPIO_Pin_11 | GPIO_Pin_12 | GPIO_Pin_13 | GPIO_Pin_14 |
+ GPIO_Pin_15;
+ GPIO_Init(GPIOE, &GPIO_InitStructure);
+
+ /* Set PF.00(A0 (RS)) as alternate function push pull */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0;
+ GPIO_Init(GPIOF, &GPIO_InitStructure);
+
+ /* Set PG.12(NE4 (LCD/CS)) as alternate function push pull */
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_12;
+ GPIO_Init(GPIOG, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Configures the Parallel interface (FSMC) for LCD(Parallel mode)
+ * @param None
+ * @retval None
+ */
+void LCD_FSMCConfig(void)
+{
+ FSMC_NORSRAMInitTypeDef FSMC_NORSRAMInitStructure;
+ FSMC_NORSRAMTimingInitTypeDef p;
+/*-- FSMC Configuration ------------------------------------------------------*/
+/*----------------------- SRAM Bank 4 ----------------------------------------*/
+ /* FSMC_Bank1_NORSRAM4 configuration */
+ p.FSMC_AddressSetupTime = 1;
+ p.FSMC_AddressHoldTime = 0;
+ p.FSMC_DataSetupTime = 2;
+ p.FSMC_BusTurnAroundDuration = 0;
+ p.FSMC_CLKDivision = 0;
+ p.FSMC_DataLatency = 0;
+ p.FSMC_AccessMode = FSMC_AccessMode_A;
+ /* Color LCD configuration ------------------------------------
+ LCD configured as follow:
+ - Data/Address MUX = Disable
+ - Memory Type = SRAM
+ - Data Width = 16bit
+ - Write Operation = Enable
+ - Extended Mode = Enable
+ - Asynchronous Wait = Disable */
+ FSMC_NORSRAMInitStructure.FSMC_Bank = FSMC_Bank1_NORSRAM4;
+ FSMC_NORSRAMInitStructure.FSMC_DataAddressMux = FSMC_DataAddressMux_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_MemoryType = FSMC_MemoryType_SRAM;
+ FSMC_NORSRAMInitStructure.FSMC_MemoryDataWidth = FSMC_MemoryDataWidth_16b;
+ FSMC_NORSRAMInitStructure.FSMC_BurstAccessMode = FSMC_BurstAccessMode_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_AsynchronousWait = FSMC_AsynchronousWait_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_WaitSignalPolarity = FSMC_WaitSignalPolarity_Low;
+ FSMC_NORSRAMInitStructure.FSMC_WrapMode = FSMC_WrapMode_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_WaitSignalActive = FSMC_WaitSignalActive_BeforeWaitState;
+ FSMC_NORSRAMInitStructure.FSMC_WriteOperation = FSMC_WriteOperation_Enable;
+ FSMC_NORSRAMInitStructure.FSMC_WaitSignal = FSMC_WaitSignal_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_ExtendedMode = FSMC_ExtendedMode_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_WriteBurst = FSMC_WriteBurst_Disable;
+ FSMC_NORSRAMInitStructure.FSMC_ReadWriteTimingStruct = &p;
+ FSMC_NORSRAMInitStructure.FSMC_WriteTimingStruct = &p;
+ FSMC_NORSRAMInit(&FSMC_NORSRAMInitStructure);
+ /* BANK 4 (of NOR/SRAM Bank) is enabled */
+ FSMC_NORSRAMCmd(FSMC_Bank1_NORSRAM4, ENABLE);
+}
+
+/**
+ * @brief Displays a pixel.
+ * @param x: pixel x.
+ * @param y: pixel y.
+ * @retval None
+ */
+static void PutPixel(int16_t x, int16_t y)
+{
+ if(x < 0 || x > 239 || y < 0 || y > 319)
+ {
+ return;
+ }
+ LCD_DrawLine(x, y, 1, LCD_DIR_HORIZONTAL);
+}
+
+#ifndef USE_Delay
+/**
+ * @brief Inserts a delay time.
+ * @param nCount: specifies the delay time length.
+ * @retval None
+ */
+static void delay(vu32 nCount)
+{
+ vu32 index = 0;
+ for(index = (34000 * nCount); index != 0; index--)
+ {
+ }
+}
+#endif /* USE_Delay*/
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_lcd.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_lcd.h
new file mode 100644
index 0000000..66320cd
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32100E_EVAL/stm32100e_eval_lcd.h
@@ -0,0 +1,359 @@
+/**
+ ******************************************************************************
+ * @file stm32100e_eval_lcd.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file contains all the functions prototypes for the stm32100e_eval_lcd
+ * firmware driver.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM32100E_EVAL_LCD_H
+#define __STM32100E_EVAL_LCD_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32f10x.h"
+#include "../Common/fonts.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32100E_EVAL_LCD
+ * @{
+ */
+
+/** @defgroup STM32100E_EVAL_LCD_Exported_Types
+ * @{
+ */
+typedef struct
+{
+ int16_t X;
+ int16_t Y;
+} Point, * pPoint;
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_LCD_Exported_Constants
+ * @{
+ */
+
+/**
+ * @brief Uncomment the line below if you want to use user defined Delay function
+ * (for precise timing), otherwise default _delay_ function defined within
+ * this driver is used (less precise timing).
+ */
+/* #define USE_Delay */
+
+#ifdef USE_Delay
+#include "main.h"
+ #define _delay_ Delay /* !< User can provide more timing precise _delay_ function
+ (with 10ms time base), using SysTick for example */
+#else
+ #define _delay_ delay /* !< Default _delay_ function with less precise timing */
+#endif
+
+/**
+ * @brief LCD Registers
+ */
+#define LCD_REG_0 0x00
+#define LCD_REG_1 0x01
+#define LCD_REG_2 0x02
+#define LCD_REG_3 0x03
+#define LCD_REG_4 0x04
+#define LCD_REG_5 0x05
+#define LCD_REG_6 0x06
+#define LCD_REG_7 0x07
+#define LCD_REG_8 0x08
+#define LCD_REG_9 0x09
+#define LCD_REG_10 0x0A
+#define LCD_REG_12 0x0C
+#define LCD_REG_13 0x0D
+#define LCD_REG_14 0x0E
+#define LCD_REG_15 0x0F
+#define LCD_REG_16 0x10
+#define LCD_REG_17 0x11
+#define LCD_REG_18 0x12
+#define LCD_REG_19 0x13
+#define LCD_REG_20 0x14
+#define LCD_REG_21 0x15
+#define LCD_REG_22 0x16
+#define LCD_REG_23 0x17
+#define LCD_REG_24 0x18
+#define LCD_REG_25 0x19
+#define LCD_REG_26 0x1A
+#define LCD_REG_27 0x1B
+#define LCD_REG_28 0x1C
+#define LCD_REG_29 0x1D
+#define LCD_REG_30 0x1E
+#define LCD_REG_31 0x1F
+#define LCD_REG_32 0x20
+#define LCD_REG_33 0x21
+#define LCD_REG_34 0x22
+#define LCD_REG_36 0x24
+#define LCD_REG_37 0x25
+#define LCD_REG_40 0x28
+#define LCD_REG_41 0x29
+#define LCD_REG_43 0x2B
+#define LCD_REG_45 0x2D
+#define LCD_REG_48 0x30
+#define LCD_REG_49 0x31
+#define LCD_REG_50 0x32
+#define LCD_REG_51 0x33
+#define LCD_REG_52 0x34
+#define LCD_REG_53 0x35
+#define LCD_REG_54 0x36
+#define LCD_REG_55 0x37
+#define LCD_REG_56 0x38
+#define LCD_REG_57 0x39
+#define LCD_REG_58 0x3A
+#define LCD_REG_59 0x3B
+#define LCD_REG_60 0x3C
+#define LCD_REG_61 0x3D
+#define LCD_REG_62 0x3E
+#define LCD_REG_63 0x3F
+#define LCD_REG_64 0x40
+#define LCD_REG_65 0x41
+#define LCD_REG_66 0x42
+#define LCD_REG_67 0x43
+#define LCD_REG_68 0x44
+#define LCD_REG_69 0x45
+#define LCD_REG_70 0x46
+#define LCD_REG_71 0x47
+#define LCD_REG_72 0x48
+#define LCD_REG_73 0x49
+#define LCD_REG_74 0x4A
+#define LCD_REG_75 0x4B
+#define LCD_REG_76 0x4C
+#define LCD_REG_77 0x4D
+#define LCD_REG_78 0x4E
+#define LCD_REG_79 0x4F
+#define LCD_REG_80 0x50
+#define LCD_REG_81 0x51
+#define LCD_REG_82 0x52
+#define LCD_REG_83 0x53
+#define LCD_REG_96 0x60
+#define LCD_REG_97 0x61
+#define LCD_REG_106 0x6A
+#define LCD_REG_118 0x76
+#define LCD_REG_128 0x80
+#define LCD_REG_129 0x81
+#define LCD_REG_130 0x82
+#define LCD_REG_131 0x83
+#define LCD_REG_132 0x84
+#define LCD_REG_133 0x85
+#define LCD_REG_134 0x86
+#define LCD_REG_135 0x87
+#define LCD_REG_136 0x88
+#define LCD_REG_137 0x89
+#define LCD_REG_139 0x8B
+#define LCD_REG_140 0x8C
+#define LCD_REG_141 0x8D
+#define LCD_REG_143 0x8F
+#define LCD_REG_144 0x90
+#define LCD_REG_145 0x91
+#define LCD_REG_146 0x92
+#define LCD_REG_147 0x93
+#define LCD_REG_148 0x94
+#define LCD_REG_149 0x95
+#define LCD_REG_150 0x96
+#define LCD_REG_151 0x97
+#define LCD_REG_152 0x98
+#define LCD_REG_153 0x99
+#define LCD_REG_154 0x9A
+#define LCD_REG_157 0x9D
+#define LCD_REG_192 0xC0
+#define LCD_REG_193 0xC1
+#define LCD_REG_229 0xE5
+
+/**
+ * @brief LCD color
+ */
+#define LCD_COLOR_WHITE 0xFFFF
+#define LCD_COLOR_BLACK 0x0000
+#define LCD_COLOR_GREY 0xF7DE
+#define LCD_COLOR_BLUE 0x001F
+#define LCD_COLOR_BLUE2 0x051F
+#define LCD_COLOR_RED 0xF800
+#define LCD_COLOR_MAGENTA 0xF81F
+#define LCD_COLOR_GREEN 0x07E0
+#define LCD_COLOR_CYAN 0x7FFF
+#define LCD_COLOR_YELLOW 0xFFE0
+
+/**
+ * @brief LCD Lines depending on the chosen fonts.
+ */
+#define LCD_LINE_0 LINE(0)
+#define LCD_LINE_1 LINE(1)
+#define LCD_LINE_2 LINE(2)
+#define LCD_LINE_3 LINE(3)
+#define LCD_LINE_4 LINE(4)
+#define LCD_LINE_5 LINE(5)
+#define LCD_LINE_6 LINE(6)
+#define LCD_LINE_7 LINE(7)
+#define LCD_LINE_8 LINE(8)
+#define LCD_LINE_9 LINE(9)
+#define LCD_LINE_10 LINE(10)
+#define LCD_LINE_11 LINE(11)
+#define LCD_LINE_12 LINE(12)
+#define LCD_LINE_13 LINE(13)
+#define LCD_LINE_14 LINE(14)
+#define LCD_LINE_15 LINE(15)
+#define LCD_LINE_16 LINE(16)
+#define LCD_LINE_17 LINE(17)
+#define LCD_LINE_18 LINE(18)
+#define LCD_LINE_19 LINE(19)
+#define LCD_LINE_20 LINE(20)
+#define LCD_LINE_21 LINE(21)
+#define LCD_LINE_22 LINE(22)
+#define LCD_LINE_23 LINE(23)
+#define LCD_LINE_24 LINE(24)
+#define LCD_LINE_25 LINE(25)
+#define LCD_LINE_26 LINE(26)
+#define LCD_LINE_27 LINE(27)
+#define LCD_LINE_28 LINE(28)
+#define LCD_LINE_29 LINE(29)
+
+/**
+ * @brief LCD default font
+ */
+#define LCD_DEFAULT_FONT Font16x24
+
+/**
+ * @brief LCD Direction
+ */
+#define LCD_DIR_HORIZONTAL 0x0000
+#define LCD_DIR_VERTICAL 0x0001
+
+/**
+ * @brief LCD Size (Width and Height)
+ */
+#define LCD_PIXEL_WIDTH 0x0140
+#define LCD_PIXEL_HEIGHT 0x00F0
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_LCD_Exported_Macros
+ * @{
+ */
+#define ASSEMBLE_RGB(R, G, B) ((((R)& 0xF8) << 8) | (((G) & 0xFC) << 3) | (((B) & 0xF8) >> 3))
+/**
+ * @}
+ */
+
+/** @defgroup STM32100E_EVAL_LCD_Exported_Functions
+ * @{
+ */
+/** @defgroup
+ * @{
+ */
+void LCD_DeInit(void);
+void STM32100E_LCD_Init(void);
+void LCD_SetColors(__IO uint16_t _TextColor, __IO uint16_t _BackColor);
+void LCD_GetColors(__IO uint16_t *_TextColor, __IO uint16_t *_BackColor);
+void LCD_SetTextColor(__IO uint16_t Color);
+void LCD_SetBackColor(__IO uint16_t Color);
+void LCD_ClearLine(uint8_t Line);
+void LCD_Clear(uint16_t Color);
+void LCD_SetCursor(uint8_t Xpos, uint16_t Ypos);
+void LCD_DrawChar(uint8_t Xpos, uint16_t Ypos, const uint16_t *c);
+void LCD_DisplayChar(uint8_t Line, uint16_t Column, uint8_t Ascii);
+void LCD_SetFont(sFONT *fonts);
+sFONT *LCD_GetFont(void);
+void LCD_DisplayStringLine(uint8_t Line, uint8_t *ptr);
+void LCD_SetDisplayWindow(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width);
+void LCD_WindowModeDisable(void);
+void LCD_DrawLine(uint8_t Xpos, uint16_t Ypos, uint16_t Length, uint8_t Direction);
+void LCD_DrawRect(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width);
+void LCD_DrawCircle(uint8_t Xpos, uint16_t Ypos, uint16_t Radius);
+void LCD_DrawMonoPict(const uint32_t *Pict);
+void LCD_WriteBMP(uint32_t BmpAddress);
+void LCD_DrawUniLine(uint16_t x1, uint16_t y1, uint16_t x2, uint16_t y2);
+void LCD_DrawFullRect(uint16_t Xpos, uint16_t Ypos, uint16_t Width, uint16_t Height);
+void LCD_DrawFullCircle(uint16_t Xpos, uint16_t Ypos, uint16_t Radius);
+void LCD_PolyLine(pPoint Points, uint16_t PointCount);
+void LCD_PolyLineRelative(pPoint Points, uint16_t PointCount);
+void LCD_ClosedPolyLine(pPoint Points, uint16_t PointCount);
+void LCD_ClosedPolyLineRelative(pPoint Points, uint16_t PointCount);
+void LCD_FillPolyLine(pPoint Points, uint16_t PointCount);
+/**
+ * @}
+ */
+
+/** @defgroup
+ * @{
+ */
+void LCD_WriteReg(uint8_t LCD_Reg, uint16_t LCD_RegValue);
+uint16_t LCD_ReadReg(uint8_t LCD_Reg);
+void LCD_WriteRAM_Prepare(void);
+void LCD_WriteRAM(uint16_t RGB_Code);
+uint16_t LCD_ReadRAM(void);
+void LCD_PowerOn(void);
+void LCD_DisplayOn(void);
+void LCD_DisplayOff(void);
+/**
+ * @}
+ */
+
+/** @defgroup
+ * @{
+ */
+void LCD_CtrlLinesConfig(void);
+void LCD_FSMCConfig(void);
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __STM32100E_EVAL_LCD_H */
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210C_EVAL/stm3210c_eval_lcd.c b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210C_EVAL/stm3210c_eval_lcd.c
new file mode 100644
index 0000000..3f547e3
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210C_EVAL/stm3210c_eval_lcd.c
@@ -0,0 +1,1407 @@
+/**
+ ******************************************************************************
+ * @file stm3210c_eval_lcd.c
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file includes the LCD driver for AM-240320L8TNQW00H (LCD_ILI9320)
+ * Liquid Crystal Display Module of STM3210C-EVAL board.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm3210c_eval_lcd.h"
+#include "../Common/fonts.c"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM3210C_EVAL
+ * @{
+ */
+
+/** @defgroup STM3210C_EVAL_LCD
+ * @brief This file includes the LCD driver for AM-240320L8TNQW00H (LCD_ILI9320)
+ * Liquid Crystal Display Module of STM3210C-EVAL board.
+ * @{
+ */
+
+/** @defgroup STM3210C_EVAL_LCD_Private_TypesDefinitions
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM3210C_EVAL_LCD_Private_Defines
+ * @{
+ */
+#define START_BYTE 0x70
+#define SET_INDEX 0x00
+#define READ_STATUS 0x01
+#define LCD_WRITE_REG 0x02
+#define LCD_READ_REG 0x03
+#define MAX_POLY_CORNERS 200
+#define POLY_Y(Z) ((int32_t)((Points + Z)->X))
+#define POLY_X(Z) ((int32_t)((Points + Z)->Y))
+/**
+ * @}
+ */
+
+
+/** @defgroup STM3210C_EVAL_LCD_Private_Macros
+ * @{
+ */
+#define ABS(X) ((X) > 0 ? (X) : -(X))
+/**
+ * @}
+ */
+
+
+/** @defgroup STM3210C_EVAL_LCD_Private_Variables
+ * @{
+ */
+static sFONT *LCD_Currentfonts;
+/* Global variables to set the written text color */
+static __IO uint16_t TextColor = 0x0000, BackColor = 0xFFFF;
+/**
+ * @}
+ */
+
+
+/** @defgroup STM3210C_EVAL_LCD_Private_FunctionPrototypes
+ * @{
+ */
+#ifndef USE_Delay
+static void delay(__IO uint32_t nCount);
+#endif /* USE_Delay*/
+static void PutPixel(int16_t x, int16_t y);
+static void LCD_PolyLineRelativeClosed(pPoint Points, uint16_t PointCount, uint16_t Closed);
+/**
+ * @}
+ */
+
+
+/** @defgroup STM3210C_EVAL_LCD_Private_Functions
+ * @{
+ */
+
+/**
+ * @brief DeInitializes the LCD.
+ * @param None
+ * @retval None
+ */
+void LCD_DeInit(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< LCD Display Off */
+ LCD_DisplayOff();
+
+ /*!< LCD_SPI disable */
+ SPI_Cmd(LCD_SPI, DISABLE);
+
+ /*!< LCD_SPI DeInit */
+ SPI_I2S_DeInit(LCD_SPI);
+
+ /*!< Disable SPI clock */
+ RCC_APB1PeriphClockCmd(LCD_SPI_CLK, DISABLE);
+ GPIO_PinRemapConfig(GPIO_Remap_SPI3, DISABLE);
+
+ /* Configure NCS in Output Push-Pull mode */
+ GPIO_InitStructure.GPIO_Pin = LCD_NCS_PIN;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IN_FLOATING;
+ GPIO_Init(LCD_NCS_GPIO_PORT, &GPIO_InitStructure);
+
+ /* Configure SPI pins: SCK, MISO and MOSI */
+ GPIO_InitStructure.GPIO_Pin = LCD_SPI_SCK_PIN;
+ GPIO_Init(LCD_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = LCD_SPI_MISO_PIN;
+ GPIO_Init(LCD_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = LCD_SPI_MOSI_PIN;
+ GPIO_Init(LCD_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Setups the LCD.
+ * @param None
+ * @retval None
+ */
+void LCD_Setup(void)
+{
+/* Configure the LCD Control pins --------------------------------------------*/
+ LCD_CtrlLinesConfig();
+
+/* Configure the LCD_SPI interface ----------------------------------------------*/
+ LCD_SPIConfig();
+ _delay_(5); /* Delay 50 ms */
+ /* Start Initial Sequence ------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_229, 0x8000); /* Set the internal vcore voltage */
+ LCD_WriteReg(LCD_REG_0, 0x0001); /* Start internal OSC. */
+ LCD_WriteReg(LCD_REG_1, 0x0100); /* set SS and SM bit */
+ LCD_WriteReg(LCD_REG_2, 0x0700); /* set 1 line inversion */
+ LCD_WriteReg(LCD_REG_3, 0x1030); /* set GRAM write direction and BGR=1. */
+ LCD_WriteReg(LCD_REG_4, 0x0000); /* Resize register */
+ LCD_WriteReg(LCD_REG_8, 0x0202); /* set the back porch and front porch */
+ LCD_WriteReg(LCD_REG_9, 0x0000); /* set non-display area refresh cycle ISC[3:0] */
+ LCD_WriteReg(LCD_REG_10, 0x0000); /* FMARK function */
+ LCD_WriteReg(LCD_REG_12, 0x0000); /* RGB interface setting */
+ LCD_WriteReg(LCD_REG_13, 0x0000); /* Frame marker Position */
+ LCD_WriteReg(LCD_REG_15, 0x0000); /* RGB interface polarity */
+ /* Power On sequence -----------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_16, 0x0000); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0000); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ LCD_WriteReg(LCD_REG_18, 0x0000); /* VREG1OUT voltage */
+ LCD_WriteReg(LCD_REG_19, 0x0000); /* VDV[4:0] for VCOM amplitude */
+ _delay_(20); /* Dis-charge capacitor power voltage (200ms) */
+ LCD_WriteReg(LCD_REG_16, 0x17B0); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0137); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_18, 0x0139); /* VREG1OUT voltage */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_19, 0x1d00); /* VDV[4:0] for VCOM amplitude */
+ LCD_WriteReg(LCD_REG_41, 0x0013); /* VCM[4:0] for VCOMH */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_32, 0x0000); /* GRAM horizontal Address */
+ LCD_WriteReg(LCD_REG_33, 0x0000); /* GRAM Vertical Address */
+ /* Adjust the Gamma Curve ------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_48, 0x0006);
+ LCD_WriteReg(LCD_REG_49, 0x0101);
+ LCD_WriteReg(LCD_REG_50, 0x0003);
+ LCD_WriteReg(LCD_REG_53, 0x0106);
+ LCD_WriteReg(LCD_REG_54, 0x0b02);
+ LCD_WriteReg(LCD_REG_55, 0x0302);
+ LCD_WriteReg(LCD_REG_56, 0x0707);
+ LCD_WriteReg(LCD_REG_57, 0x0007);
+ LCD_WriteReg(LCD_REG_60, 0x0600);
+ LCD_WriteReg(LCD_REG_61, 0x020b);
+
+ /* Set GRAM area ---------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_80, 0x0000); /* Horizontal GRAM Start Address */
+ LCD_WriteReg(LCD_REG_81, 0x00EF); /* Horizontal GRAM End Address */
+ LCD_WriteReg(LCD_REG_82, 0x0000); /* Vertical GRAM Start Address */
+ LCD_WriteReg(LCD_REG_83, 0x013F); /* Vertical GRAM End Address */
+ LCD_WriteReg(LCD_REG_96, 0x2700); /* Gate Scan Line */
+ LCD_WriteReg(LCD_REG_97, 0x0001); /* NDL,VLE, REV */
+ LCD_WriteReg(LCD_REG_106, 0x0000); /* set scrolling line */
+ /* Partial Display Control -----------------------------------------------*/
+ LCD_WriteReg(LCD_REG_128, 0x0000);
+ LCD_WriteReg(LCD_REG_129, 0x0000);
+ LCD_WriteReg(LCD_REG_130, 0x0000);
+ LCD_WriteReg(LCD_REG_131, 0x0000);
+ LCD_WriteReg(LCD_REG_132, 0x0000);
+ LCD_WriteReg(LCD_REG_133, 0x0000);
+ /* Panel Control ---------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_144, 0x0010);
+ LCD_WriteReg(LCD_REG_146, 0x0000);
+ LCD_WriteReg(LCD_REG_147, 0x0003);
+ LCD_WriteReg(LCD_REG_149, 0x0110);
+ LCD_WriteReg(LCD_REG_151, 0x0000);
+ LCD_WriteReg(LCD_REG_152, 0x0000);
+ /* Set GRAM write direction and BGR = 1 */
+ /* I/D=01 (Horizontal : increment, Vertical : decrement) */
+ /* AM=1 (address is updated in vertical writing direction) */
+ LCD_WriteReg(LCD_REG_3, 0x1018);
+ LCD_WriteReg(LCD_REG_7, 0x0173); /* 262K color and display ON */
+}
+
+
+/**
+ * @brief Initializes the LCD.
+ * @param None
+ * @retval None
+ */
+void STM3210C_LCD_Init(void)
+{
+ /* Setups the LCD */
+ LCD_Setup();
+ LCD_SetFont(&LCD_DEFAULT_FONT);
+}
+
+/**
+ * @brief Sets the LCD Text and Background colors.
+ * @param _TextColor: specifies the Text Color.
+ * @param _BackColor: specifies the Background Color.
+ * @retval None
+ */
+void LCD_SetColors(__IO uint16_t _TextColor, __IO uint16_t _BackColor)
+{
+ TextColor = _TextColor;
+ BackColor = _BackColor;
+}
+
+/**
+ * @brief Gets the LCD Text and Background colors.
+ * @param _TextColor: pointer to the variable that will contain the Text
+ Color.
+ * @param _BackColor: pointer to the variable that will contain the Background
+ Color.
+ * @retval None
+ */
+void LCD_GetColors(__IO uint16_t *_TextColor, __IO uint16_t *_BackColor)
+{
+ *_TextColor = TextColor; *_BackColor = BackColor;
+}
+
+/**
+ * @brief Sets the Text color.
+ * @param Color: specifies the Text color code RGB(5-6-5).
+ * @retval None
+ */
+void LCD_SetTextColor(__IO uint16_t Color)
+{
+ TextColor = Color;
+}
+
+
+/**
+ * @brief Sets the Background color.
+ * @param Color: specifies the Background color code RGB(5-6-5).
+ * @retval None
+ */
+void LCD_SetBackColor(__IO uint16_t Color)
+{
+ BackColor = Color;
+}
+
+/**
+ * @brief Sets the Text Font.
+ * @param fonts: specifies the font to be used.
+ * @retval None
+ */
+void LCD_SetFont(sFONT *fonts)
+{
+ LCD_Currentfonts = fonts;
+}
+
+/**
+ * @brief Gets the Text Font.
+ * @param None.
+ * @retval the used font.
+ */
+sFONT *LCD_GetFont(void)
+{
+ return LCD_Currentfonts;
+}
+
+/**
+ * @brief Clears the selected line.
+ * @param Line: the Line to be cleared.
+ * This parameter can be one of the following values:
+ * @arg Linex: where x can be 0..n
+ * @retval None
+ */
+void LCD_ClearLine(uint8_t Line)
+{
+ uint16_t refcolumn = LCD_PIXEL_WIDTH - 1;
+ /* Send the string character by character on lCD */
+ while (((refcolumn + 1)& 0xFFFF) >= LCD_Currentfonts->Width)
+ {
+ /* Display one character on LCD */
+ LCD_DisplayChar(Line, refcolumn, ' ');
+ /* Decrement the column position by 16 */
+ refcolumn -= LCD_Currentfonts->Width;
+ }
+}
+
+
+/**
+ * @brief Clears the hole LCD.
+ * @param Color: the color of the background.
+ * @retval None
+ */
+void LCD_Clear(uint16_t Color)
+{
+ uint32_t index = 0;
+
+ LCD_SetCursor(0x00, 0x013F);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ for(index = 0; index < 76800; index++)
+ {
+ LCD_WriteRAM(Color);
+ }
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+
+}
+
+
+/**
+ * @brief Sets the cursor position.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @retval None
+ */
+void LCD_SetCursor(uint8_t Xpos, uint16_t Ypos)
+{
+ LCD_WriteReg(LCD_REG_32, Xpos);
+ LCD_WriteReg(LCD_REG_33, Ypos);
+}
+
+
+/**
+ * @brief Draws a character on LCD.
+ * @param Xpos: the Line where to display the character shape.
+ * @param Ypos: start column address.
+ * @param c: pointer to the character data.
+ * @retval None
+ */
+void LCD_DrawChar(uint8_t Xpos, uint16_t Ypos, const uint16_t *c)
+{
+ uint32_t index = 0, i = 0;
+ uint8_t Xaddress = 0;
+
+ Xaddress = Xpos;
+
+ LCD_SetCursor(Xaddress, Ypos);
+
+ for(index = 0; index < LCD_Currentfonts->Height; index++)
+ {
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ for(i = 0; i < LCD_Currentfonts->Width; i++)
+ {
+ if((((c[index] & ((0x80 << ((LCD_Currentfonts->Width / 12 ) * 8 ) ) >> i)) == 0x00) &&(LCD_Currentfonts->Width <= 12))||
+ (((c[index] & (0x1 << i)) == 0x00)&&(LCD_Currentfonts->Width > 12 )))
+
+ {
+ LCD_WriteRAM(BackColor);
+ }
+ else
+ {
+ LCD_WriteRAM(TextColor);
+ }
+ }
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+ Xaddress++;
+ LCD_SetCursor(Xaddress, Ypos);
+ }
+}
+
+
+/**
+ * @brief Displays one character (16dots width, 24dots height).
+ * @param Line: the Line where to display the character shape .
+ * This parameter can be one of the following values:
+ * @arg Linex: where x can be 0..9
+ * @param Column: start column address.
+ * @param Ascii: character ascii code, must be between 0x20 and 0x7E.
+ * @retval None
+ */
+void LCD_DisplayChar(uint8_t Line, uint16_t Column, uint8_t Ascii)
+{
+ Ascii -= 32;
+ LCD_DrawChar(Line, Column, &LCD_Currentfonts->table[Ascii * LCD_Currentfonts->Height]);
+}
+
+
+/**
+ * @brief Displays a maximum of 20 char on the LCD.
+ * @param Line: the Line where to display the character shape .
+ * This parameter can be one of the following values:
+ * @arg Linex: where x can be 0..9
+ * @param *ptr: pointer to string to display on LCD.
+ * @retval None
+ */
+void LCD_DisplayStringLine(uint8_t Line, uint8_t *ptr)
+{
+ uint16_t refcolumn = LCD_PIXEL_WIDTH - 1;
+
+ /* Send the string character by character on lCD */
+ while ((*ptr != 0) & (((refcolumn + 1) & 0xFFFF) >= LCD_Currentfonts->Width))
+ {
+ /* Display one character on LCD */
+ LCD_DisplayChar(Line, refcolumn, *ptr);
+ /* Decrement the column position by 16 */
+ refcolumn -= LCD_Currentfonts->Width;
+ /* Point on the next character */
+ ptr++;
+ }
+}
+
+
+/**
+ * @brief Sets a display window
+ * @param Xpos: specifies the X buttom left position.
+ * @param Ypos: specifies the Y buttom left position.
+ * @param Height: display window height.
+ * @param Width: display window width.
+ * @retval None
+ */
+void LCD_SetDisplayWindow(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width)
+{
+ /* Horizontal GRAM Start Address */
+ if(Xpos >= Height)
+ {
+ LCD_WriteReg(LCD_REG_80, (Xpos - Height + 1));
+ }
+ else
+ {
+ LCD_WriteReg(LCD_REG_80, 0);
+ }
+ /* Horizontal GRAM End Address */
+ LCD_WriteReg(LCD_REG_81, Xpos);
+ /* Vertical GRAM Start Address */
+ if(Ypos >= Width)
+ {
+ LCD_WriteReg(LCD_REG_82, (Ypos - Width + 1));
+ }
+ else
+ {
+ LCD_WriteReg(LCD_REG_82, 0);
+ }
+ /* Vertical GRAM End Address */
+ LCD_WriteReg(LCD_REG_83, Ypos);
+ LCD_SetCursor(Xpos, Ypos);
+}
+
+
+/**
+ * @brief Disables LCD Window mode.
+ * @param None
+ * @retval None
+ */
+void LCD_WindowModeDisable(void)
+{
+ LCD_SetDisplayWindow(239, 0x13F, 240, 320);
+ LCD_WriteReg(LCD_REG_3, 0x1018);
+}
+
+
+/**
+ * @brief Displays a line.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Length: line length.
+ * @param Direction: line direction.
+ * This parameter can be one of the following values: Vertical or Horizontal.
+ * @retval None
+ */
+void LCD_DrawLine(uint8_t Xpos, uint16_t Ypos, uint16_t Length, uint8_t Direction)
+{
+ uint32_t i = 0;
+
+ LCD_SetCursor(Xpos, Ypos);
+ if(Direction == LCD_DIR_HORIZONTAL)
+ {
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ for(i = 0; i < Length; i++)
+ {
+ LCD_WriteRAM(TextColor);
+ }
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+ }
+ else
+ {
+ for(i = 0; i < Length; i++)
+ {
+ LCD_WriteRAMWord(TextColor);
+ Xpos++;
+ LCD_SetCursor(Xpos, Ypos);
+ }
+ }
+}
+
+
+/**
+ * @brief Displays a rectangle.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Height: display rectangle height.
+ * @param Width: display rectangle width.
+ * @retval None
+ */
+void LCD_DrawRect(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width)
+{
+ LCD_DrawLine(Xpos, Ypos, Width, LCD_DIR_HORIZONTAL);
+ LCD_DrawLine((Xpos + Height), Ypos, Width, LCD_DIR_HORIZONTAL);
+
+ LCD_DrawLine(Xpos, Ypos, Height, LCD_DIR_VERTICAL);
+ LCD_DrawLine(Xpos, (Ypos - Width + 1), Height, LCD_DIR_VERTICAL);
+}
+
+
+/**
+ * @brief Displays a circle.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Radius
+ * @retval None
+ */
+void LCD_DrawCircle(uint8_t Xpos, uint16_t Ypos, uint16_t Radius)
+{
+ s32 D;/* Decision Variable */
+ uint32_t CurX;/* Current X Value */
+ uint32_t CurY;/* Current Y Value */
+
+ D = 3 - (Radius << 1);
+ CurX = 0;
+ CurY = Radius;
+
+ while (CurX <= CurY)
+ {
+ LCD_SetCursor(Xpos + CurX, Ypos + CurY);
+ LCD_WriteRAMWord(TextColor);
+ LCD_SetCursor(Xpos + CurX, Ypos - CurY);
+ LCD_WriteRAMWord(TextColor);
+ LCD_SetCursor(Xpos - CurX, Ypos + CurY);
+ LCD_WriteRAMWord(TextColor);
+ LCD_SetCursor(Xpos - CurX, Ypos - CurY);
+ LCD_WriteRAMWord(TextColor);
+ LCD_SetCursor(Xpos + CurY, Ypos + CurX);
+ LCD_WriteRAMWord(TextColor);
+ LCD_SetCursor(Xpos + CurY, Ypos - CurX);
+ LCD_WriteRAMWord(TextColor);
+ LCD_SetCursor(Xpos - CurY, Ypos + CurX);
+ LCD_WriteRAMWord(TextColor);
+ LCD_SetCursor(Xpos - CurY, Ypos - CurX);
+ LCD_WriteRAMWord(TextColor);
+ if (D < 0)
+ {
+ D += (CurX << 2) + 6;
+ }
+ else
+ {
+ D += ((CurX - CurY) << 2) + 10;
+ CurY--;
+ }
+ CurX++;
+ }
+}
+
+/**
+ * @brief Displays a monocolor picture.
+ * @param Pict: pointer to the picture array.
+ * @retval None
+ */
+void LCD_DrawMonoPict(const uint32_t *Pict)
+{
+ uint32_t index = 0, i = 0;
+ LCD_SetCursor(0, (LCD_PIXEL_WIDTH - 1));
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ for(index = 0; index < 2400; index++)
+ {
+ for(i = 0; i < 32; i++)
+ {
+ if((Pict[index] & (1 << i)) == 0x00)
+ {
+ LCD_WriteRAM(BackColor);
+ }
+ else
+ {
+ LCD_WriteRAM(TextColor);
+ }
+ }
+ }
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+}
+
+#ifdef USE_LCD_DrawBMP
+/**
+ * @brief Displays a bitmap picture loaded in the SPI Flash.
+ * @param BmpAddress: Bmp picture address in the SPI Flash.
+ * @retval None
+ */
+//void LCD_DrawBMP(uint32_t BmpAddress)
+//{
+// uint32_t i = 0, size = 0;
+//
+// /* Read bitmap size */
+// sFLASH_ReadBuffer((uint8_t*)&size, BmpAddress + 2, 4);
+//
+// /* get bitmap data address offset */
+// sFLASH_ReadBuffer((uint8_t*)&i, BmpAddress + 10, 4);
+//
+// size = (size - i)/2;
+//
+// sFLASH_StartReadSequence(BmpAddress + i);
+//
+// /* Disable LCD_SPI */
+// SPI_Cmd(LCD_SPI, DISABLE);
+// /* SPI in 16-bit mode */
+// SPI_DataSizeConfig(LCD_SPI, SPI_DataSize_16b);
+//
+// /* Enable LCD_SPI */
+// SPI_Cmd(LCD_SPI, ENABLE);
+//
+// /* Set GRAM write direction and BGR = 1 */
+// /* I/D=00 (Horizontal : decrement, Vertical : decrement) */
+// /* AM=1 (address is updated in vertical writing direction) */
+// LCD_WriteReg(LCD_REG_3, 0x1008);
+//
+// LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+//
+// /* Read bitmap data from SPI Flash and send them to LCD */
+// for(i = 0; i < size; i++)
+// {
+// LCD_WriteRAM(__REV_HalfWord(sFLASH_SendHalfWord(0xA5A5)));
+// }
+//
+// LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+//
+// /* Deselect the FLASH: Chip Select high */
+// sFLASH_CS_HIGH();
+//
+// /* Disable LCD_SPI */
+// SPI_Cmd(LCD_SPI, DISABLE);
+// /* SPI in 8-bit mode */
+// SPI_DataSizeConfig(LCD_SPI, SPI_DataSize_8b);
+//
+// /* Enable LCD_SPI */
+// SPI_Cmd(LCD_SPI, ENABLE);
+//
+// /* Set GRAM write direction and BGR = 1 */
+// /* I/D = 01 (Horizontal : increment, Vertical : decrement) */
+// /* AM = 1 (address is updated in vertical writing direction) */
+// LCD_WriteReg(LCD_REG_3, 0x1018);
+//}
+
+
+/**
+ * @brief Displays a bitmap picture loaded in the Internal FLASH.
+ * @param BmpAddress: Bmp picture address in the Internal FLASH.
+ * @retval None
+ */
+void LCD_DrawBMP(const uint16_t *BmpAddress)
+{
+ uint32_t i = 0, size = 0;
+ /* Read bitmap size */
+ size = BmpAddress[1] | (BmpAddress[2] << 16);
+ /* get bitmap data address offset */
+ i = BmpAddress[5] | (BmpAddress[6] << 16);
+ size = (size - i)/2;
+ BmpAddress += i/2;
+ /* Set GRAM write direction and BGR = 1 */
+ /* I/D=00 (Horizontal : decrement, Vertical : decrement) */
+ /* AM=1 (address is updated in vertical writing direction) */
+ LCD_WriteReg(LCD_REG_3, 0x1008);
+ LCD_WriteRAM_Prepare(); /* Prepare to write GRAM */
+ /* Read bitmap data from SPI Flash and send them to LCD */
+ for(i = 0; i < size; i++)
+ {
+ LCD_WriteRAM(BmpAddress[i]);
+ }
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+ /* Set GRAM write direction and BGR = 1 */
+ /* I/D = 01 (Horizontal : increment, Vertical : decrement) */
+ /* AM = 1 (address is updated in vertical writing direction) */
+ LCD_WriteReg(LCD_REG_3, 0x1018);
+}
+#endif
+
+/**
+ * @brief Displays a full rectangle.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Height: rectangle height.
+ * @param Width: rectangle width.
+ * @retval None
+ */
+void LCD_DrawFullRect(uint16_t Xpos, uint16_t Ypos, uint16_t Width, uint16_t Height)
+{
+ LCD_SetTextColor(TextColor);
+
+ LCD_DrawLine(Xpos, Ypos, Width, LCD_DIR_HORIZONTAL);
+ LCD_DrawLine((Xpos + Height), Ypos, Width, LCD_DIR_HORIZONTAL);
+
+ LCD_DrawLine(Xpos, Ypos, Height, LCD_DIR_VERTICAL);
+ LCD_DrawLine(Xpos, (Ypos - Width + 1), Height, LCD_DIR_VERTICAL);
+
+ Width -= 2;
+ Height--;
+ Ypos--;
+
+ LCD_SetTextColor(BackColor);
+
+ while(Height--)
+ {
+ LCD_DrawLine(++Xpos, Ypos, Width, LCD_DIR_HORIZONTAL);
+ }
+
+ LCD_SetTextColor(TextColor);
+}
+
+/**
+ * @brief Displays a full circle.
+ * @param Xpos: specifies the X position.
+ * @param Ypos: specifies the Y position.
+ * @param Radius
+ * @retval None
+ */
+void LCD_DrawFullCircle(uint16_t Xpos, uint16_t Ypos, uint16_t Radius)
+{
+ int32_t D; /* Decision Variable */
+ uint32_t CurX;/* Current X Value */
+ uint32_t CurY;/* Current Y Value */
+
+ D = 3 - (Radius << 1);
+
+ CurX = 0;
+ CurY = Radius;
+
+ LCD_SetTextColor(BackColor);
+
+ while (CurX <= CurY)
+ {
+ if(CurY > 0)
+ {
+ LCD_DrawLine(Xpos - CurX, Ypos + CurY, 2*CurY, LCD_DIR_HORIZONTAL);
+ LCD_DrawLine(Xpos + CurX, Ypos + CurY, 2*CurY, LCD_DIR_HORIZONTAL);
+ }
+
+ if(CurX > 0)
+ {
+ LCD_DrawLine(Xpos - CurY, Ypos + CurX, 2*CurX, LCD_DIR_HORIZONTAL);
+ LCD_DrawLine(Xpos + CurY, Ypos + CurX, 2*CurX, LCD_DIR_HORIZONTAL);
+ }
+ if (D < 0)
+ {
+ D += (CurX << 2) + 6;
+ }
+ else
+ {
+ D += ((CurX - CurY) << 2) + 10;
+ CurY--;
+ }
+ CurX++;
+ }
+
+ LCD_SetTextColor(TextColor);
+ LCD_DrawCircle(Xpos, Ypos, Radius);
+}
+
+/**
+ * @brief Displays an uni line (between two points).
+ * @param x1: specifies the point 1 x position.
+ * @param y1: specifies the point 1 y position.
+ * @param x2: specifies the point 2 x position.
+ * @param y2: specifies the point 2 y position.
+ * @retval None
+ */
+void LCD_DrawUniLine(uint16_t x1, uint16_t y1, uint16_t x2, uint16_t y2)
+{
+ int16_t deltax = 0, deltay = 0, x = 0, y = 0, xinc1 = 0, xinc2 = 0,
+ yinc1 = 0, yinc2 = 0, den = 0, num = 0, numadd = 0, numpixels = 0,
+ curpixel = 0;
+
+ deltax = ABS(x2 - x1); /* The difference between the x's */
+ deltay = ABS(y2 - y1); /* The difference between the y's */
+ x = x1; /* Start x off at the first pixel */
+ y = y1; /* Start y off at the first pixel */
+
+ if (x2 >= x1) /* The x-values are increasing */
+ {
+ xinc1 = 1;
+ xinc2 = 1;
+ }
+ else /* The x-values are decreasing */
+ {
+ xinc1 = -1;
+ xinc2 = -1;
+ }
+
+ if (y2 >= y1) /* The y-values are increasing */
+ {
+ yinc1 = 1;
+ yinc2 = 1;
+ }
+ else /* The y-values are decreasing */
+ {
+ yinc1 = -1;
+ yinc2 = -1;
+ }
+
+ if (deltax >= deltay) /* There is at least one x-value for every y-value */
+ {
+ xinc1 = 0; /* Don't change the x when numerator >= denominator */
+ yinc2 = 0; /* Don't change the y for every iteration */
+ den = deltax;
+ num = deltax / 2;
+ numadd = deltay;
+ numpixels = deltax; /* There are more x-values than y-values */
+ }
+ else /* There is at least one y-value for every x-value */
+ {
+ xinc2 = 0; /* Don't change the x for every iteration */
+ yinc1 = 0; /* Don't change the y when numerator >= denominator */
+ den = deltay;
+ num = deltay / 2;
+ numadd = deltax;
+ numpixels = deltay; /* There are more y-values than x-values */
+ }
+
+ for (curpixel = 0; curpixel <= numpixels; curpixel++)
+ {
+ PutPixel(x, y); /* Draw the current pixel */
+ num += numadd; /* Increase the numerator by the top of the fraction */
+ if (num >= den) /* Check if numerator >= denominator */
+ {
+ num -= den; /* Calculate the new numerator value */
+ x += xinc1; /* Change the x as appropriate */
+ y += yinc1; /* Change the y as appropriate */
+ }
+ x += xinc2; /* Change the x as appropriate */
+ y += yinc2; /* Change the y as appropriate */
+ }
+}
+
+/**
+ * @brief Displays an polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_PolyLine(pPoint Points, uint16_t PointCount)
+{
+ int16_t X = 0, Y = 0;
+
+ if(PointCount < 2)
+ {
+ return;
+ }
+
+ while(--PointCount)
+ {
+ X = Points->X;
+ Y = Points->Y;
+ Points++;
+ LCD_DrawUniLine(X, Y, Points->X, Points->Y);
+ }
+}
+
+/**
+ * @brief Displays an relative polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @param Closed: specifies if the draw is closed or not.
+ * 1: closed, 0 : not closed.
+ * @retval None
+ */
+static void LCD_PolyLineRelativeClosed(pPoint Points, uint16_t PointCount, uint16_t Closed)
+{
+ int16_t X = 0, Y = 0;
+ pPoint First = Points;
+
+ if(PointCount < 2)
+ {
+ return;
+ }
+ X = Points->X;
+ Y = Points->Y;
+ while(--PointCount)
+ {
+ Points++;
+ LCD_DrawUniLine(X, Y, X + Points->X, Y + Points->Y);
+ X = X + Points->X;
+ Y = Y + Points->Y;
+ }
+ if(Closed)
+ {
+ LCD_DrawUniLine(First->X, First->Y, X, Y);
+ }
+}
+
+/**
+ * @brief Displays a closed polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_ClosedPolyLine(pPoint Points, uint16_t PointCount)
+{
+ LCD_PolyLine(Points, PointCount);
+ LCD_DrawUniLine(Points->X, Points->Y, (Points+PointCount-1)->X, (Points+PointCount-1)->Y);
+}
+
+/**
+ * @brief Displays a relative polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_PolyLineRelative(pPoint Points, uint16_t PointCount)
+{
+ LCD_PolyLineRelativeClosed(Points, PointCount, 0);
+}
+
+/**
+ * @brief Displays a closed relative polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_ClosedPolyLineRelative(pPoint Points, uint16_t PointCount)
+{
+ LCD_PolyLineRelativeClosed(Points, PointCount, 1);
+}
+
+
+/**
+ * @brief Displays a full polyline (between many points).
+ * @param Points: pointer to the points array.
+ * @param PointCount: Number of points.
+ * @retval None
+ */
+void LCD_FillPolyLine(pPoint Points, uint16_t PointCount)
+{
+ /* public-domain code by Darel Rex Finley, 2007 */
+ uint16_t nodes = 0, nodeX[MAX_POLY_CORNERS], pixelX = 0, pixelY = 0, i = 0,
+ j = 0, swap = 0;
+ uint16_t IMAGE_LEFT = 0, IMAGE_RIGHT = 0, IMAGE_TOP = 0, IMAGE_BOTTOM = 0;
+
+ IMAGE_LEFT = IMAGE_RIGHT = Points->X;
+ IMAGE_TOP= IMAGE_BOTTOM = Points->Y;
+
+ for(i = 1; i < PointCount; i++)
+ {
+ pixelX = POLY_X(i);
+ if(pixelX < IMAGE_LEFT)
+ {
+ IMAGE_LEFT = pixelX;
+ }
+ if(pixelX > IMAGE_RIGHT)
+ {
+ IMAGE_RIGHT = pixelX;
+ }
+
+ pixelY = POLY_Y(i);
+ if(pixelY < IMAGE_TOP)
+ {
+ IMAGE_TOP = pixelY;
+ }
+ if(pixelY > IMAGE_BOTTOM)
+ {
+ IMAGE_BOTTOM = pixelY;
+ }
+ }
+
+ LCD_SetTextColor(BackColor);
+
+ /* Loop through the rows of the image. */
+ for (pixelY = IMAGE_TOP; pixelY < IMAGE_BOTTOM; pixelY++)
+ {
+ /* Build a list of nodes. */
+ nodes = 0; j = PointCount-1;
+
+ for (i = 0; i < PointCount; i++)
+ {
+ if (POLY_Y(i)<(double) pixelY && POLY_Y(j)>=(double) pixelY || POLY_Y(j)<(double) pixelY && POLY_Y(i)>=(double) pixelY)
+ {
+ nodeX[nodes++]=(int) (POLY_X(i)+((pixelY-POLY_Y(i))*(POLY_X(j)-POLY_X(i)))/(POLY_Y(j)-POLY_Y(i)));
+ }
+ j = i;
+ }
+
+ /* Sort the nodes, via a simple "Bubble" sort. */
+ i = 0;
+ while (i < nodes-1)
+ {
+ if (nodeX[i]>nodeX[i+1])
+ {
+ swap = nodeX[i];
+ nodeX[i] = nodeX[i+1];
+ nodeX[i+1] = swap;
+ if(i)
+ {
+ i--;
+ }
+ }
+ else
+ {
+ i++;
+ }
+ }
+
+ /* Fill the pixels between node pairs. */
+ for (i = 0; i < nodes; i+=2)
+ {
+ if(nodeX[i] >= IMAGE_RIGHT)
+ {
+ break;
+ }
+ if(nodeX[i+1] > IMAGE_LEFT)
+ {
+ if (nodeX[i] < IMAGE_LEFT)
+ {
+ nodeX[i]=IMAGE_LEFT;
+ }
+ if(nodeX[i+1] > IMAGE_RIGHT)
+ {
+ nodeX[i+1] = IMAGE_RIGHT;
+ }
+ LCD_SetTextColor(BackColor);
+ LCD_DrawLine(pixelY, nodeX[i+1], nodeX[i+1] - nodeX[i], LCD_DIR_HORIZONTAL);
+ LCD_SetTextColor(TextColor);
+ PutPixel(pixelY, nodeX[i+1]);
+ PutPixel(pixelY, nodeX[i]);
+ /* for (j=nodeX[i]; j<nodeX[i+1]; j++) PutPixel(j,pixelY); */
+ }
+ }
+ }
+
+ /* draw the edges */
+ LCD_SetTextColor(TextColor);
+}
+
+/**
+ * @brief Reset LCD control line(/CS) and Send Start-Byte
+ * @param Start_Byte: the Start-Byte to be sent
+ * @retval None
+ */
+void LCD_nCS_StartByte(uint8_t Start_Byte)
+{
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_RESET);
+ SPI_I2S_SendData(LCD_SPI, Start_Byte);
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+}
+
+/**
+ * @brief Writes index to select the LCD register.
+ * @param LCD_Reg: address of the selected register.
+ * @retval None
+ */
+void LCD_WriteRegIndex(uint8_t LCD_Reg)
+{
+ /* Reset LCD control line(/CS) and Send Start-Byte */
+ LCD_nCS_StartByte(START_BYTE | SET_INDEX);
+ /* Write 16-bit Reg Index (High Byte is 0) */
+ SPI_I2S_SendData(LCD_SPI, 0x00);
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+ SPI_I2S_SendData(LCD_SPI, LCD_Reg);
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+}
+
+
+/**
+ * @brief Reads the selected LCD Register.
+ * @param None
+ * @retval LCD Register Value.
+ */
+uint16_t LCD_ReadReg(uint8_t LCD_Reg)
+{
+ uint16_t tmp = 0;
+ uint8_t i = 0;
+
+ /* LCD_SPI prescaler: 4 */
+ LCD_SPI->CR1 &= 0xFFC7;
+ LCD_SPI->CR1 |= 0x0008;
+ /* Write 16-bit Index (then Read Reg) */
+ LCD_WriteRegIndex(LCD_Reg);
+ /* Read 16-bit Reg */
+ /* Reset LCD control line(/CS) and Send Start-Byte */
+ LCD_nCS_StartByte(START_BYTE | LCD_READ_REG);
+
+ for(i = 0; i < 5; i++)
+ {
+ SPI_I2S_SendData(LCD_SPI, 0xFF);
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+ /* One byte of invalid dummy data read after the start byte */
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_RXNE) == RESET)
+ {
+ }
+ SPI_I2S_ReceiveData(LCD_SPI);
+ }
+ SPI_I2S_SendData(LCD_SPI, 0xFF);
+ /* Read upper byte */
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+ /* Read lower byte */
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_RXNE) == RESET)
+ {
+ }
+ tmp = SPI_I2S_ReceiveData(LCD_SPI);
+
+
+ SPI_I2S_SendData(LCD_SPI, 0xFF);
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+ /* Read lower byte */
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_RXNE) == RESET)
+ {
+ }
+ tmp = ((tmp & 0xFF) << 8) | SPI_I2S_ReceiveData(LCD_SPI);
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+ /* LCD_SPI prescaler: 2 */
+ LCD_SPI->CR1 &= 0xFFC7;
+ return tmp;
+}
+
+
+/**
+ * @brief Prepare to write to the LCD RAM.
+ * @param None
+ * @retval None
+ */
+void LCD_WriteRAM_Prepare(void)
+{
+ LCD_WriteRegIndex(LCD_REG_34); /* Select GRAM Reg */
+ /* Reset LCD control line(/CS) and Send Start-Byte */
+ LCD_nCS_StartByte(START_BYTE | LCD_WRITE_REG);
+}
+
+
+/**
+ * @brief Writes 1 word to the LCD RAM.
+ * @param RGB_Code: the pixel color in RGB mode (5-6-5).
+ * @retval None
+ */
+void LCD_WriteRAMWord(uint16_t RGB_Code)
+{
+ LCD_WriteRAM_Prepare();
+ LCD_WriteRAM(RGB_Code);
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+}
+
+
+/**
+ * @brief Writes to the selected LCD register.
+ * @param LCD_Reg: address of the selected register.
+ * @param LCD_RegValue: value to write to the selected register.
+ * @retval None
+ */
+void LCD_WriteReg(uint8_t LCD_Reg, uint16_t LCD_RegValue)
+{
+ /* Write 16-bit Index (then Write Reg) */
+ LCD_WriteRegIndex(LCD_Reg);
+ /* Write 16-bit Reg */
+ /* Reset LCD control line(/CS) and Send Start-Byte */
+ LCD_nCS_StartByte(START_BYTE | LCD_WRITE_REG);
+ SPI_I2S_SendData(LCD_SPI, LCD_RegValue>>8);
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+ SPI_I2S_SendData(LCD_SPI, (LCD_RegValue & 0xFF));
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+ LCD_CtrlLinesWrite(LCD_NCS_GPIO_PORT, LCD_NCS_PIN, Bit_SET);
+}
+
+
+/**
+ * @brief Writes to the LCD RAM.
+ * @param RGB_Code: the pixel color in RGB mode (5-6-5).
+ * @retval None
+ */
+void LCD_WriteRAM(uint16_t RGB_Code)
+{
+ SPI_I2S_SendData(LCD_SPI, RGB_Code >> 8);
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+ SPI_I2S_SendData(LCD_SPI, RGB_Code & 0xFF);
+ while(SPI_I2S_GetFlagStatus(LCD_SPI, SPI_I2S_FLAG_BSY) != RESET)
+ {
+ }
+}
+
+
+/**
+ * @brief Power on the LCD.
+ * @param None
+ * @retval None
+ */
+void LCD_PowerOn(void)
+{
+ /* Power On sequence ---------------------------------------------------------*/
+ LCD_WriteReg(LCD_REG_16, 0x0000); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0000); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ LCD_WriteReg(LCD_REG_18, 0x0000); /* VREG1OUT voltage */
+ LCD_WriteReg(LCD_REG_19, 0x0000); /* VDV[4:0] for VCOM amplitude */
+ _delay_(20); /* Dis-charge capacitor power voltage (200ms) */
+ LCD_WriteReg(LCD_REG_16, 0x17B0); /* SAP, BT[3:0], AP, DSTB, SLP, STB */
+ LCD_WriteReg(LCD_REG_17, 0x0137); /* DC1[2:0], DC0[2:0], VC[2:0] */
+ _delay_(5); /* Delay 50 ms */
+ LCD_WriteReg(LCD_REG_18, 0x0139); /* VREG1OUT voltage */
+ _delay_(5); /* delay 50 ms */
+ LCD_WriteReg(LCD_REG_19, 0x1d00); /* VDV[4:0] for VCOM amplitude */
+ LCD_WriteReg(LCD_REG_41, 0x0013); /* VCM[4:0] for VCOMH */
+ _delay_(5); /* delay 50 ms */
+ LCD_WriteReg(LCD_REG_7, 0x0173); /* 262K color and display ON */
+}
+
+/**
+ * @brief Enables the Display.
+ * @param None
+ * @retval None
+ */
+void LCD_DisplayOn(void)
+{
+ /* Display On */
+ LCD_WriteReg(LCD_REG_7, 0x0173); /* 262K color and display ON */
+
+}
+
+/**
+ * @brief Disables the Display.
+ * @param None
+ * @retval None
+ */
+void LCD_DisplayOff(void)
+{
+ /* Display Off */
+ LCD_WriteReg(LCD_REG_7, 0x0);
+}
+
+/**
+ * @brief Configures LCD control lines in Output Push-Pull mode.
+ * @param None
+ * @retval None
+ */
+void LCD_CtrlLinesConfig(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /* Enable GPIO clock */
+ RCC_APB2PeriphClockCmd(LCD_NCS_GPIO_CLK, ENABLE);
+
+ /* Configure NCS in Output Push-Pull mode */
+ GPIO_InitStructure.GPIO_Pin = LCD_NCS_PIN;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;
+ GPIO_Init(LCD_NCS_GPIO_PORT, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Sets or reset LCD control lines.
+ * @param GPIOx: where x can be B or D to select the GPIO peripheral.
+ * @param CtrlPins: the Control line. This parameter can be:
+ * @arg LCD_NCS_PIN: Chip Select pin
+ * @param BitVal: specifies the value to be written to the selected bit.
+ * This parameter can be:
+ * @arg Bit_RESET: to clear the port pin
+ * @arg Bit_SET: to set the port pin
+ * @retval None
+ */
+void LCD_CtrlLinesWrite(GPIO_TypeDef* GPIOx, uint16_t CtrlPins, BitAction BitVal)
+{
+ /* Set or Reset the control line */
+ GPIO_WriteBit(GPIOx, CtrlPins, BitVal);
+}
+
+
+/**
+ * @brief Configures the LCD_SPI interface.
+ * @param None
+ * @retval None
+ */
+void LCD_SPIConfig(void)
+{
+ SPI_InitTypeDef SPI_InitStructure;
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /* Enable GPIO clock */
+ RCC_APB2PeriphClockCmd(LCD_SPI_SCK_GPIO_CLK | LCD_SPI_MISO_GPIO_CLK | LCD_SPI_MOSI_GPIO_CLK
+ | RCC_APB2Periph_AFIO, ENABLE);
+ GPIO_PinRemapConfig(GPIO_Remap_SPI3, ENABLE);
+
+ /* Enable SPI clock */
+ RCC_APB1PeriphClockCmd(LCD_SPI_CLK, ENABLE);
+
+ /* Configure SPI pins: SCK, MISO and MOSI */
+ GPIO_InitStructure.GPIO_Pin = LCD_SPI_SCK_PIN;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF_PP;
+ GPIO_Init(LCD_SPI_SCK_GPIO_PORT, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = LCD_SPI_MISO_PIN;
+ GPIO_Init(LCD_SPI_MISO_GPIO_PORT, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = LCD_SPI_MOSI_PIN;
+ GPIO_Init(LCD_SPI_MOSI_GPIO_PORT, &GPIO_InitStructure);
+
+ SPI_I2S_DeInit(LCD_SPI);
+
+ /* SPI Config */
+ SPI_InitStructure.SPI_Direction = SPI_Direction_2Lines_FullDuplex;
+ SPI_InitStructure.SPI_Mode = SPI_Mode_Master;
+ SPI_InitStructure.SPI_DataSize = SPI_DataSize_8b;
+ SPI_InitStructure.SPI_CPOL = SPI_CPOL_High;
+ SPI_InitStructure.SPI_CPHA = SPI_CPHA_2Edge;
+ SPI_InitStructure.SPI_NSS = SPI_NSS_Soft;
+ SPI_InitStructure.SPI_BaudRatePrescaler = SPI_BaudRatePrescaler_2;
+ SPI_InitStructure.SPI_FirstBit = SPI_FirstBit_MSB;
+ SPI_Init(LCD_SPI, &SPI_InitStructure);
+
+ /* SPI enable */
+ SPI_Cmd(LCD_SPI, ENABLE);
+}
+
+/**
+ * @brief Displays a pixel.
+ * @param x: pixel x.
+ * @param y: pixel y.
+ * @retval None
+ */
+static void PutPixel(int16_t x, int16_t y)
+{
+ if(x < 0 || x > 239 || y < 0 || y > 319)
+ {
+ return;
+ }
+ LCD_DrawLine(x, y, 1, LCD_DIR_HORIZONTAL);
+}
+
+#ifndef USE_Delay
+/**
+ * @brief Inserts a delay time.
+ * @param nCount: specifies the delay time length.
+ * @retval None
+ */
+static void delay(__IO uint32_t nCount)
+{
+ __IO uint32_t index = 0;
+ for(index = (100000 * nCount); index != 0; index--)
+ {
+ }
+}
+#endif /* USE_Delay*/
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210C_EVAL/stm3210c_eval_lcd.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210C_EVAL/stm3210c_eval_lcd.h
new file mode 100644
index 0000000..0584c8a
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210C_EVAL/stm3210c_eval_lcd.h
@@ -0,0 +1,379 @@
+/**
+ ******************************************************************************
+ * @file stm3210c_eval_lcd.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file contains all the functions prototypes for the lcd firmware driver.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM3210C_EVAL_LCD_H
+#define __STM3210C_EVAL_LCD_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32f10x.h"
+#include "../Common/fonts.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM3210C_EVAL
+ * @{
+ */
+
+/** @addtogroup STM3210C_EVAL_LCD
+ * @{
+ */
+
+
+/** @defgroup STM3210C_EVAL_LCD_Exported_Types
+ * @{
+ */
+typedef struct
+{
+ int16_t X;
+ int16_t Y;
+} Point, * pPoint;
+/**
+ * @}
+ */
+
+/** @defgroup STM3210C_EVAL_LCD_Exported_Constants
+ * @{
+ */
+
+/**
+ * @brief Uncomment the line below if you want to use LCD_DrawBMP function to
+ * display a bitmap picture on the LCD. This function assumes that the bitmap
+ * file is loaded in the SPI Flash (mounted on STM3210C-EVAL board), however
+ * user can tailor it according to his application hardware requirement.
+ */
+/*#define USE_LCD_DrawBMP*/
+
+/**
+ * @brief Uncomment the line below if you want to use user defined Delay function
+ * (for precise timing), otherwise default _delay_ function defined within
+ * this driver is used (less precise timing).
+ */
+/* #define USE_Delay */
+
+#ifdef USE_Delay
+#include "main.h"
+
+ #define _delay_ Delay /* !< User can provide more timing precise _delay_ function
+ (with 10ms time base), using SysTick for example */
+#else
+ #define _delay_ delay /* !< Default _delay_ function with less precise timing */
+#endif
+
+/**
+ * @brief LCD Control pins
+ */
+#define LCD_NCS_PIN GPIO_Pin_2
+#define LCD_NCS_GPIO_PORT GPIOB
+#define LCD_NCS_GPIO_CLK RCC_APB2Periph_GPIOB
+
+/**
+ * @brief LCD SPI Interface pins
+ */
+#define LCD_SPI SPI3
+#define LCD_SPI_CLK RCC_APB1Periph_SPI3
+#define LCD_SPI_SCK_PIN GPIO_Pin_10
+#define LCD_SPI_SCK_GPIO_PORT GPIOC
+#define LCD_SPI_SCK_GPIO_CLK RCC_APB2Periph_GPIOC
+#define LCD_SPI_MISO_PIN GPIO_Pin_11
+#define LCD_SPI_MISO_GPIO_PORT GPIOC
+#define LCD_SPI_MISO_GPIO_CLK RCC_APB2Periph_GPIOC
+#define LCD_SPI_MOSI_PIN GPIO_Pin_12
+#define LCD_SPI_MOSI_GPIO_PORT GPIOC
+#define LCD_SPI_MOSI_GPIO_CLK RCC_APB2Periph_GPIOC
+
+/**
+ * @brief LCD Registers
+ */
+#define LCD_REG_0 0x00
+#define LCD_REG_1 0x01
+#define LCD_REG_2 0x02
+#define LCD_REG_3 0x03
+#define LCD_REG_4 0x04
+#define LCD_REG_5 0x05
+#define LCD_REG_6 0x06
+#define LCD_REG_7 0x07
+#define LCD_REG_8 0x08
+#define LCD_REG_9 0x09
+#define LCD_REG_10 0x0A
+#define LCD_REG_12 0x0C
+#define LCD_REG_13 0x0D
+#define LCD_REG_14 0x0E
+#define LCD_REG_15 0x0F
+#define LCD_REG_16 0x10
+#define LCD_REG_17 0x11
+#define LCD_REG_18 0x12
+#define LCD_REG_19 0x13
+#define LCD_REG_20 0x14
+#define LCD_REG_21 0x15
+#define LCD_REG_22 0x16
+#define LCD_REG_23 0x17
+#define LCD_REG_24 0x18
+#define LCD_REG_25 0x19
+#define LCD_REG_26 0x1A
+#define LCD_REG_27 0x1B
+#define LCD_REG_28 0x1C
+#define LCD_REG_29 0x1D
+#define LCD_REG_30 0x1E
+#define LCD_REG_31 0x1F
+#define LCD_REG_32 0x20
+#define LCD_REG_33 0x21
+#define LCD_REG_34 0x22
+#define LCD_REG_36 0x24
+#define LCD_REG_37 0x25
+#define LCD_REG_40 0x28
+#define LCD_REG_41 0x29
+#define LCD_REG_43 0x2B
+#define LCD_REG_45 0x2D
+#define LCD_REG_48 0x30
+#define LCD_REG_49 0x31
+#define LCD_REG_50 0x32
+#define LCD_REG_51 0x33
+#define LCD_REG_52 0x34
+#define LCD_REG_53 0x35
+#define LCD_REG_54 0x36
+#define LCD_REG_55 0x37
+#define LCD_REG_56 0x38
+#define LCD_REG_57 0x39
+#define LCD_REG_59 0x3B
+#define LCD_REG_60 0x3C
+#define LCD_REG_61 0x3D
+#define LCD_REG_62 0x3E
+#define LCD_REG_63 0x3F
+#define LCD_REG_64 0x40
+#define LCD_REG_65 0x41
+#define LCD_REG_66 0x42
+#define LCD_REG_67 0x43
+#define LCD_REG_68 0x44
+#define LCD_REG_69 0x45
+#define LCD_REG_70 0x46
+#define LCD_REG_71 0x47
+#define LCD_REG_72 0x48
+#define LCD_REG_73 0x49
+#define LCD_REG_74 0x4A
+#define LCD_REG_75 0x4B
+#define LCD_REG_76 0x4C
+#define LCD_REG_77 0x4D
+#define LCD_REG_78 0x4E
+#define LCD_REG_79 0x4F
+#define LCD_REG_80 0x50
+#define LCD_REG_81 0x51
+#define LCD_REG_82 0x52
+#define LCD_REG_83 0x53
+#define LCD_REG_96 0x60
+#define LCD_REG_97 0x61
+#define LCD_REG_106 0x6A
+#define LCD_REG_118 0x76
+#define LCD_REG_128 0x80
+#define LCD_REG_129 0x81
+#define LCD_REG_130 0x82
+#define LCD_REG_131 0x83
+#define LCD_REG_132 0x84
+#define LCD_REG_133 0x85
+#define LCD_REG_134 0x86
+#define LCD_REG_135 0x87
+#define LCD_REG_136 0x88
+#define LCD_REG_137 0x89
+#define LCD_REG_139 0x8B
+#define LCD_REG_140 0x8C
+#define LCD_REG_141 0x8D
+#define LCD_REG_143 0x8F
+#define LCD_REG_144 0x90
+#define LCD_REG_145 0x91
+#define LCD_REG_146 0x92
+#define LCD_REG_147 0x93
+#define LCD_REG_148 0x94
+#define LCD_REG_149 0x95
+#define LCD_REG_150 0x96
+#define LCD_REG_151 0x97
+#define LCD_REG_152 0x98
+#define LCD_REG_153 0x99
+#define LCD_REG_154 0x9A
+#define LCD_REG_157 0x9D
+#define LCD_REG_192 0xC0
+#define LCD_REG_193 0xC1
+#define LCD_REG_229 0xE5
+
+/**
+ * @brief LCD color
+ */
+#define LCD_COLOR_WHITE 0xFFFF
+#define LCD_COLOR_BLACK 0x0000
+#define LCD_COLOR_GREY 0xF7DE
+#define LCD_COLOR_BLUE 0x001F
+#define LCD_COLOR_BLUE2 0x051F
+#define LCD_COLOR_RED 0xF800
+#define LCD_COLOR_MAGENTA 0xF81F
+#define LCD_COLOR_GREEN 0x07E0
+#define LCD_COLOR_CYAN 0x7FFF
+#define LCD_COLOR_YELLOW 0xFFE0
+
+/**
+ * @brief LCD Lines depending on the chosen fonts.
+ */
+#define LCD_LINE_0 LINE(0)
+#define LCD_LINE_1 LINE(1)
+#define LCD_LINE_2 LINE(2)
+#define LCD_LINE_3 LINE(3)
+#define LCD_LINE_4 LINE(4)
+#define LCD_LINE_5 LINE(5)
+#define LCD_LINE_6 LINE(6)
+#define LCD_LINE_7 LINE(7)
+#define LCD_LINE_8 LINE(8)
+#define LCD_LINE_9 LINE(9)
+#define LCD_LINE_10 LINE(10)
+#define LCD_LINE_11 LINE(11)
+#define LCD_LINE_12 LINE(12)
+#define LCD_LINE_13 LINE(13)
+#define LCD_LINE_14 LINE(14)
+#define LCD_LINE_15 LINE(15)
+#define LCD_LINE_16 LINE(16)
+#define LCD_LINE_17 LINE(17)
+#define LCD_LINE_18 LINE(18)
+#define LCD_LINE_19 LINE(19)
+#define LCD_LINE_20 LINE(20)
+#define LCD_LINE_21 LINE(21)
+#define LCD_LINE_22 LINE(22)
+#define LCD_LINE_23 LINE(23)
+#define LCD_LINE_24 LINE(24)
+#define LCD_LINE_25 LINE(25)
+#define LCD_LINE_26 LINE(26)
+#define LCD_LINE_27 LINE(27)
+#define LCD_LINE_28 LINE(28)
+#define LCD_LINE_29 LINE(29)
+
+/**
+ * @brief LCD default font
+ */
+#define LCD_DEFAULT_FONT Font16x24
+
+/**
+ * @brief LCD Direction
+ */
+#define LCD_DIR_HORIZONTAL 0x0000
+#define LCD_DIR_VERTICAL 0x0001
+
+/**
+ * @brief LCD Size (Width and Height)
+ */
+#define LCD_PIXEL_WIDTH 0x0140
+#define LCD_PIXEL_HEIGHT 0x00F0
+
+/**
+ * @}
+ */
+
+/** @defgroup STM3210C_EVAL_LCD_Exported_Macros
+ * @{
+ */
+#define ASSEMBLE_RGB(R, G, B) ((((R)& 0xF8) << 8) | (((G) & 0xFC) << 3) | (((B) & 0xF8) >> 3))
+/**
+ * @}
+ */
+
+/** @defgroup STM3210C_EVAL_LCD_Exported_Functions
+ * @{
+ */
+void LCD_DeInit(void);
+void LCD_Setup(void);
+void STM3210C_LCD_Init(void);
+void LCD_SetColors(__IO uint16_t _TextColor, __IO uint16_t _BackColor);
+void LCD_GetColors(__IO uint16_t *_TextColor, __IO uint16_t *_BackColor);
+void LCD_SetTextColor(__IO uint16_t Color);
+void LCD_SetBackColor(__IO uint16_t Color);
+void LCD_ClearLine(uint8_t Line);
+void LCD_Clear(uint16_t Color);
+void LCD_SetCursor(uint8_t Xpos, uint16_t Ypos);
+void LCD_DrawChar(uint8_t Xpos, uint16_t Ypos, const uint16_t *c);
+void LCD_DisplayChar(uint8_t Line, uint16_t Column, uint8_t Ascii);
+void LCD_SetFont(sFONT *fonts);
+sFONT *LCD_GetFont(void);
+void LCD_DisplayStringLine(uint8_t Line, uint8_t *ptr);
+void LCD_SetDisplayWindow(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width);
+void LCD_WindowModeDisable(void);
+void LCD_DrawLine(uint8_t Xpos, uint16_t Ypos, uint16_t Length, uint8_t Direction);
+void LCD_DrawRect(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width);
+void LCD_DrawCircle(uint8_t Xpos, uint16_t Ypos, uint16_t Radius);
+void LCD_DrawMonoPict(const uint32_t *Pict);
+#ifdef USE_LCD_DrawBMP
+//void LCD_DrawBMP(uint32_t BmpAddress);
+void LCD_DrawBMP(const uint16_t *BmpAddress);
+#endif
+void LCD_DrawUniLine(uint16_t x1, uint16_t y1, uint16_t x2, uint16_t y2);
+void LCD_DrawFullRect(uint16_t Xpos, uint16_t Ypos, uint16_t Width, uint16_t Height);
+void LCD_DrawFullCircle(uint16_t Xpos, uint16_t Ypos, uint16_t Radius);
+void LCD_PolyLine(pPoint Points, uint16_t PointCount);
+void LCD_PolyLineRelative(pPoint Points, uint16_t PointCount);
+void LCD_ClosedPolyLine(pPoint Points, uint16_t PointCount);
+void LCD_ClosedPolyLineRelative(pPoint Points, uint16_t PointCount);
+void LCD_FillPolyLine(pPoint Points, uint16_t PointCount);
+
+void LCD_nCS_StartByte(uint8_t Start_Byte);
+void LCD_WriteRegIndex(uint8_t LCD_Reg);
+void LCD_WriteReg(uint8_t LCD_Reg, uint16_t LCD_RegValue);
+void LCD_WriteRAM_Prepare(void);
+void LCD_WriteRAMWord(uint16_t RGB_Code);
+uint16_t LCD_ReadReg(uint8_t LCD_Reg);
+void LCD_WriteRAM(uint16_t RGB_Code);
+void LCD_PowerOn(void);
+void LCD_DisplayOn(void);
+void LCD_DisplayOff(void);
+
+void LCD_CtrlLinesConfig(void);
+void LCD_CtrlLinesWrite(GPIO_TypeDef* GPIOx, uint16_t CtrlPins, BitAction BitVal);
+void LCD_SPIConfig(void);
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __STM3210C_EVAL_LCD_H */
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210E_EVAL/stm3210e_eval_lcd.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210E_EVAL/stm3210e_eval_lcd.h
new file mode 100644
index 0000000..3e86c94
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM3210E_EVAL/stm3210e_eval_lcd.h
@@ -0,0 +1,359 @@
+/**
+ ******************************************************************************
+ * @file stm3210e_eval_lcd.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file contains all the functions prototypes for the stm3210e_eval_lcd
+ * firmware driver.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM3210E_EVAL_LCD_H
+#define __STM3210E_EVAL_LCD_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32f10x.h"
+#include "../Common/fonts.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM3210E_EVAL
+ * @{
+ */
+
+/** @addtogroup STM3210E_EVAL_LCD
+ * @{
+ */
+
+/** @defgroup STM3210E_EVAL_LCD_Exported_Types
+ * @{
+ */
+typedef struct
+{
+ int16_t X;
+ int16_t Y;
+} Point, * pPoint;
+/**
+ * @}
+ */
+
+/** @defgroup STM3210E_EVAL_LCD_Exported_Constants
+ * @{
+ */
+
+/**
+ * @brief Uncomment the line below if you want to use user defined Delay function
+ * (for precise timing), otherwise default _delay_ function defined within
+ * this driver is used (less precise timing).
+ */
+/* #define USE_Delay */
+
+#ifdef USE_Delay
+#include "main.h"
+ #define _delay_ Delay /* !< User can provide more timing precise _delay_ function
+ (with 10ms time base), using SysTick for example */
+#else
+ #define _delay_ delay /* !< Default _delay_ function with less precise timing */
+#endif
+
+/**
+ * @brief LCD Registers
+ */
+#define LCD_REG_0 0x00
+#define LCD_REG_1 0x01
+#define LCD_REG_2 0x02
+#define LCD_REG_3 0x03
+#define LCD_REG_4 0x04
+#define LCD_REG_5 0x05
+#define LCD_REG_6 0x06
+#define LCD_REG_7 0x07
+#define LCD_REG_8 0x08
+#define LCD_REG_9 0x09
+#define LCD_REG_10 0x0A
+#define LCD_REG_12 0x0C
+#define LCD_REG_13 0x0D
+#define LCD_REG_14 0x0E
+#define LCD_REG_15 0x0F
+#define LCD_REG_16 0x10
+#define LCD_REG_17 0x11
+#define LCD_REG_18 0x12
+#define LCD_REG_19 0x13
+#define LCD_REG_20 0x14
+#define LCD_REG_21 0x15
+#define LCD_REG_22 0x16
+#define LCD_REG_23 0x17
+#define LCD_REG_24 0x18
+#define LCD_REG_25 0x19
+#define LCD_REG_26 0x1A
+#define LCD_REG_27 0x1B
+#define LCD_REG_28 0x1C
+#define LCD_REG_29 0x1D
+#define LCD_REG_30 0x1E
+#define LCD_REG_31 0x1F
+#define LCD_REG_32 0x20
+#define LCD_REG_33 0x21
+#define LCD_REG_34 0x22
+#define LCD_REG_36 0x24
+#define LCD_REG_37 0x25
+#define LCD_REG_40 0x28
+#define LCD_REG_41 0x29
+#define LCD_REG_43 0x2B
+#define LCD_REG_45 0x2D
+#define LCD_REG_48 0x30
+#define LCD_REG_49 0x31
+#define LCD_REG_50 0x32
+#define LCD_REG_51 0x33
+#define LCD_REG_52 0x34
+#define LCD_REG_53 0x35
+#define LCD_REG_54 0x36
+#define LCD_REG_55 0x37
+#define LCD_REG_56 0x38
+#define LCD_REG_57 0x39
+#define LCD_REG_58 0x3A
+#define LCD_REG_59 0x3B
+#define LCD_REG_60 0x3C
+#define LCD_REG_61 0x3D
+#define LCD_REG_62 0x3E
+#define LCD_REG_63 0x3F
+#define LCD_REG_64 0x40
+#define LCD_REG_65 0x41
+#define LCD_REG_66 0x42
+#define LCD_REG_67 0x43
+#define LCD_REG_68 0x44
+#define LCD_REG_69 0x45
+#define LCD_REG_70 0x46
+#define LCD_REG_71 0x47
+#define LCD_REG_72 0x48
+#define LCD_REG_73 0x49
+#define LCD_REG_74 0x4A
+#define LCD_REG_75 0x4B
+#define LCD_REG_76 0x4C
+#define LCD_REG_77 0x4D
+#define LCD_REG_78 0x4E
+#define LCD_REG_79 0x4F
+#define LCD_REG_80 0x50
+#define LCD_REG_81 0x51
+#define LCD_REG_82 0x52
+#define LCD_REG_83 0x53
+#define LCD_REG_96 0x60
+#define LCD_REG_97 0x61
+#define LCD_REG_106 0x6A
+#define LCD_REG_118 0x76
+#define LCD_REG_128 0x80
+#define LCD_REG_129 0x81
+#define LCD_REG_130 0x82
+#define LCD_REG_131 0x83
+#define LCD_REG_132 0x84
+#define LCD_REG_133 0x85
+#define LCD_REG_134 0x86
+#define LCD_REG_135 0x87
+#define LCD_REG_136 0x88
+#define LCD_REG_137 0x89
+#define LCD_REG_139 0x8B
+#define LCD_REG_140 0x8C
+#define LCD_REG_141 0x8D
+#define LCD_REG_143 0x8F
+#define LCD_REG_144 0x90
+#define LCD_REG_145 0x91
+#define LCD_REG_146 0x92
+#define LCD_REG_147 0x93
+#define LCD_REG_148 0x94
+#define LCD_REG_149 0x95
+#define LCD_REG_150 0x96
+#define LCD_REG_151 0x97
+#define LCD_REG_152 0x98
+#define LCD_REG_153 0x99
+#define LCD_REG_154 0x9A
+#define LCD_REG_157 0x9D
+#define LCD_REG_192 0xC0
+#define LCD_REG_193 0xC1
+#define LCD_REG_229 0xE5
+
+/**
+ * @brief LCD color
+ */
+#define LCD_COLOR_WHITE 0xFFFF
+#define LCD_COLOR_BLACK 0x0000
+#define LCD_COLOR_GREY 0xF7DE
+#define LCD_COLOR_BLUE 0x001F
+#define LCD_COLOR_BLUE2 0x051F
+#define LCD_COLOR_RED 0xF800
+#define LCD_COLOR_MAGENTA 0xF81F
+#define LCD_COLOR_GREEN 0x07E0
+#define LCD_COLOR_CYAN 0x7FFF
+#define LCD_COLOR_YELLOW 0xFFE0
+
+/**
+ * @brief LCD Lines depending on the chosen fonts.
+ */
+#define LCD_LINE_0 LINE(0)
+#define LCD_LINE_1 LINE(1)
+#define LCD_LINE_2 LINE(2)
+#define LCD_LINE_3 LINE(3)
+#define LCD_LINE_4 LINE(4)
+#define LCD_LINE_5 LINE(5)
+#define LCD_LINE_6 LINE(6)
+#define LCD_LINE_7 LINE(7)
+#define LCD_LINE_8 LINE(8)
+#define LCD_LINE_9 LINE(9)
+#define LCD_LINE_10 LINE(10)
+#define LCD_LINE_11 LINE(11)
+#define LCD_LINE_12 LINE(12)
+#define LCD_LINE_13 LINE(13)
+#define LCD_LINE_14 LINE(14)
+#define LCD_LINE_15 LINE(15)
+#define LCD_LINE_16 LINE(16)
+#define LCD_LINE_17 LINE(17)
+#define LCD_LINE_18 LINE(18)
+#define LCD_LINE_19 LINE(19)
+#define LCD_LINE_20 LINE(20)
+#define LCD_LINE_21 LINE(21)
+#define LCD_LINE_22 LINE(22)
+#define LCD_LINE_23 LINE(23)
+#define LCD_LINE_24 LINE(24)
+#define LCD_LINE_25 LINE(25)
+#define LCD_LINE_26 LINE(26)
+#define LCD_LINE_27 LINE(27)
+#define LCD_LINE_28 LINE(28)
+#define LCD_LINE_29 LINE(29)
+
+/**
+ * @brief LCD default font
+ */
+#define LCD_DEFAULT_FONT Font16x24
+
+/**
+ * @brief LCD Direction
+ */
+#define LCD_DIR_HORIZONTAL 0x0000
+#define LCD_DIR_VERTICAL 0x0001
+
+/**
+ * @brief LCD Size (Width and Height)
+ */
+#define LCD_PIXEL_WIDTH 0x0140
+#define LCD_PIXEL_HEIGHT 0x00F0
+
+/**
+ * @}
+ */
+
+/** @defgroup STM3210E_EVAL_LCD_Exported_Macros
+ * @{
+ */
+#define ASSEMBLE_RGB(R, G, B) ((((R)& 0xF8) << 8) | (((G) & 0xFC) << 3) | (((B) & 0xF8) >> 3))
+/**
+ * @}
+ */
+
+/** @defgroup STM3210E_EVAL_LCD_Exported_Functions
+ * @{
+ */
+/** @defgroup
+ * @{
+ */
+void LCD_DeInit(void);
+void STM3210E_LCD_Init(void);
+void LCD_SetColors(__IO uint16_t _TextColor, __IO uint16_t _BackColor);
+void LCD_GetColors(__IO uint16_t *_TextColor, __IO uint16_t *_BackColor);
+void LCD_SetTextColor(__IO uint16_t Color);
+void LCD_SetBackColor(__IO uint16_t Color);
+void LCD_ClearLine(uint8_t Line);
+void LCD_Clear(uint16_t Color);
+void LCD_SetCursor(uint8_t Xpos, uint16_t Ypos);
+void LCD_DrawChar(uint8_t Xpos, uint16_t Ypos, const uint16_t *c);
+void LCD_DisplayChar(uint8_t Line, uint16_t Column, uint8_t Ascii);
+void LCD_SetFont(sFONT *fonts);
+sFONT *LCD_GetFont(void);
+void LCD_DisplayStringLine(uint8_t Line, uint8_t *ptr);
+void LCD_SetDisplayWindow(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width);
+void LCD_WindowModeDisable(void);
+void LCD_DrawLine(uint8_t Xpos, uint16_t Ypos, uint16_t Length, uint8_t Direction);
+void LCD_DrawRect(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width);
+void LCD_DrawCircle(uint8_t Xpos, uint16_t Ypos, uint16_t Radius);
+void LCD_DrawMonoPict(const uint32_t *Pict);
+void LCD_WriteBMP(uint32_t BmpAddress);
+void LCD_DrawUniLine(uint16_t x1, uint16_t y1, uint16_t x2, uint16_t y2);
+void LCD_DrawFullRect(uint16_t Xpos, uint16_t Ypos, uint16_t Width, uint16_t Height);
+void LCD_DrawFullCircle(uint16_t Xpos, uint16_t Ypos, uint16_t Radius);
+void LCD_PolyLine(pPoint Points, uint16_t PointCount);
+void LCD_PolyLineRelative(pPoint Points, uint16_t PointCount);
+void LCD_ClosedPolyLine(pPoint Points, uint16_t PointCount);
+void LCD_ClosedPolyLineRelative(pPoint Points, uint16_t PointCount);
+void LCD_FillPolyLine(pPoint Points, uint16_t PointCount);
+/**
+ * @}
+ */
+
+/** @defgroup
+ * @{
+ */
+void LCD_WriteReg(uint8_t LCD_Reg, uint16_t LCD_RegValue);
+uint16_t LCD_ReadReg(uint8_t LCD_Reg);
+void LCD_WriteRAM_Prepare(void);
+void LCD_WriteRAM(uint16_t RGB_Code);
+uint16_t LCD_ReadRAM(void);
+void LCD_PowerOn(void);
+void LCD_DisplayOn(void);
+void LCD_DisplayOff(void);
+/**
+ * @}
+ */
+
+/** @defgroup
+ * @{
+ */
+void LCD_CtrlLinesConfig(void);
+void LCD_FSMCConfig(void);
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __STM3210E_EVAL_LCD_H */
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_glass_lcd.c b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_glass_lcd.c
new file mode 100644
index 0000000..4247343
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_glass_lcd.c
@@ -0,0 +1,855 @@
+/**
+ ******************************************************************************
+ * @file stm32l152_eval_glass_lcd.c
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file includes the LCD Glass driver for Pacific Display
+ * (LCD_PD878, PD878-DP-FH-W-LV-6-RH) Module of STM32L152-EVAL board RevB.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32l152_eval_glass_lcd.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32L152_EVAL
+ * @{
+ */
+
+/** @defgroup STM32L152_EVAL_GLASS_LCD
+ * @brief This file includes the LCD Glass driver for Pacific Display
+ * (LCD_PD878, PD878-DP-FH-W-LV-6-RH) Module of STM32L152-EVAL board.
+ * @{
+ */
+
+/** @defgroup STM32L152_EVAL_GLASS_LCD_Private_Types
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32L152_EVAL_GLASS_LCD_Private_Defines
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32L152_EVAL_GLASS_LCD_Private_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32L152_EVAL_GLASS_LCD_Private_Variables
+ * @{
+ */
+
+/**
+ @verbatim
+================================================================================
+ GLASS LCD MAPPING
+================================================================================
+ A
+ -- ----------
+ X \/ |\ |I /|
+ F| H | J |B
+ | \ | / |
+ --G-- --K--
+ | /| \ |
+ E | L | N |C
+ | / |M \| _
+ ----------- | |DP
+ D -
+
+A LCD character coding is based on the following matrix:
+
+
+{ X , F , E , D }
+{ I , J , K , N }
+{ A , B , C , DP }
+{ H , G , L , M }
+
+The character A for example is:
+-------------------------------
+ { 0 , 1 , 1 , 0 }
+ { 0 , 0 , 1 , 0 }
+ { 1 , 1 , 1 , 0 }
+ { 0 , 1 , 0 , 0 }
+-------------------
+= 4 D 7 0 hex
+
+=> 'A' = 0x4D70
+
+ @endverbatim
+ */
+
+/**
+ * @brief LETTERS AND NUMBERS MAPPING DEFINITION
+ */
+uint8_t digit[4]; /* Digit LCD RAM buffer */
+__I uint16_t mask[4] = {0xF000, 0x0F00, 0x00F0, 0x000F};
+__I uint8_t shift[4] = {0x0C, 0x08, 0x04, 0x00};
+
+/* Letters and number map of PD_878 LCD */
+__I uint16_t LetterMap[26]=
+{
+/* A B C D E F G H I */
+0x4D70, 0x6469, 0x4111, 0x6449, 0x4911, 0x4910, 0x4171, 0x0D70, 0x6009,
+/* J K L M N O P Q R */
+0x0451, 0x0B12, 0x0111, 0x8750, 0x8552, 0x4551, 0x4D30, 0x4553, 0x4D32,
+/* S T U V W X Y Z */
+0x4961, 0x6008, 0x0551, 0x0390, 0x05D2, 0x8282, 0x8208, 0x4281
+};
+
+__I uint16_t NumberMap[10]=
+{
+/* 0 1 2 3 4 5 6 7 8 9 */
+0x47D1, 0x0640, 0x4C31, 0x4C61, 0x0D60, 0x4961, 0x4971, 0x4440, 0x4D71, 0x4D61
+};
+
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32L152_EVAL_LCD_Private_Function_Prototypes
+ * @{
+ */
+static void Convert(uint8_t* c, Point_Typedef point, Apostrophe_Typedef apostrophe);
+static void delay(__IO uint32_t nCount);
+static void LCD_GPIOConfig(void);
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32L152_EVAL_LCD_Private_Functions
+ * @{
+ */
+
+/**
+ * @brief Configures the LCD GLASS relative GPIO port IOs and LCD peripheral.
+ * @param None
+ * @retval None
+ */
+void LCD_GLASS_Init(void)
+{
+ LCD_InitTypeDef LCD_InitStructure;
+
+ LCD_GPIOConfig(); /*!< Configure the LCD Glass GPIO pins */
+
+ /*!< Configure the LCD interface -------------------------------------------*/
+ RCC_APB1PeriphClockCmd(RCC_APB1Periph_LCD, ENABLE); /*!< Enable LCD APB1 Clock */
+
+ LCD_InitStructure.LCD_Prescaler = LCD_Prescaler_8;
+ LCD_InitStructure.LCD_Divider = LCD_Divider_16;
+ LCD_InitStructure.LCD_Duty = LCD_Duty_1_4;
+ LCD_InitStructure.LCD_Bias = LCD_Bias_1_3;
+ LCD_InitStructure.LCD_VoltageSource = LCD_VoltageSource_Internal;
+ LCD_Init(&LCD_InitStructure);
+
+ /*!< Configure the Pulse On Duration */
+ LCD_PulseOnDurationConfig(LCD_PulseOnDuration_2);
+
+ /*!< Configure the LCD Contrast (3.51V) */
+ LCD_ContrastConfig(LCD_Contrast_Level_7);
+
+ /*!< Wait Until the LCD FCR register is synchronized */
+ LCD_WaitForSynchro();
+
+ /*!< Enable LCD peripheral */
+ LCD_Cmd(ENABLE);
+
+ /*!< Wait Until the LCD is enabled */
+ while(LCD_GetFlagStatus(LCD_FLAG_ENS) == RESET)
+ {
+ }
+ /*!< Wait Until the LCD Booster is ready */
+ while(LCD_GetFlagStatus(LCD_FLAG_RDY) == RESET)
+ {
+ }
+}
+
+/**
+ * @brief This function writes a char in the LCD RAM.
+ * @param ch: The character to dispaly.
+ * @param point: A point to add in front of char.
+ * This parameter can be one of the following values:
+ * @arg POINT_OFF: No point to add in front of char.
+ * @arg POINT_ON: Add a point in front of char.
+ * @param apostrophe: Flag indicating if a apostrophe has to be add in front
+ * of displayed character.
+ * This parameter can be one of the following values:
+ * @arg APOSTROPHE_OFF: No apostrophe to add in back of char.
+ * @arg APOSTROPHE_ON: Add an apostrophe in back of char.
+ * @param position: Position in the LCD of the caracter to write.
+ * This parameter can be any value in range [0:7].
+ * @retval None
+ */
+void LCD_GLASS_DisplayChar(uint8_t* ch, Point_Typedef point, Apostrophe_Typedef apostrophe, uint8_t position)
+{
+ /*!< Wait Until the last LCD RAM update finish */
+ while(LCD_GetFlagStatus(LCD_FLAG_UDR) != RESET)
+ {
+ }
+ /*!< LCD Write Char */
+ LCD_GLASS_WriteChar(ch, point, apostrophe, position);
+
+ /*!< Requesy LCD RAM update */
+ LCD_UpdateDisplayRequest();
+}
+
+/**
+ * @brief This function writes a char in the LCD RAM.
+ * @param ptr: Pointer to string to display on the LCD Glass.
+ * @retval None
+ */
+void LCD_GLASS_DisplayString(uint8_t* ptr)
+{
+ uint32_t i = 0x00;
+
+ /*!< Wait Until the last LCD RAM update finish */
+ while(LCD_GetFlagStatus(LCD_FLAG_UDR) != RESET)
+ {
+ }
+
+ /*!< Send the string character by character on lCD */
+ while ((*ptr != 0) & (i < 8))
+ {
+ /*!< Display one character on LCD */
+ LCD_GLASS_WriteChar(ptr, POINT_OFF, APOSTROPHE_OFF, i);
+ /*!< Point on the next character */
+ ptr++;
+ /*!< Increment the character counter */
+ i++;
+ }
+ /*!< Requesy LCD RAM update */
+ LCD_UpdateDisplayRequest();
+}
+
+/**
+ * @brief This function writes a char in the LCD RAM.
+ * @param ch: The character to dispaly.
+ * @param point: A point to add in front of char.
+ * This parameter can be one of the following values:
+ * @arg POINT_OFF : No point to add in front of char.
+ * @arg POINT_ON : Add a point in front of char.
+ * @param apostrophe: Flag indicating if a apostrophe has to be add in front
+ * of displayed character.
+ * This parameter can be one of the following values:
+ * @arg APOSTROPHE_OFF : No apostrophe to add in back of char.
+ * @arg APOSTROPHE_ON : Add an apostrophe in back of char.
+ * @param position: Position in the LCD of the caracter to write.
+ * This parameter can be any value in range [0:7].
+ * @retval None
+ */
+void LCD_GLASS_WriteChar(uint8_t* ch, Point_Typedef point, Apostrophe_Typedef apostrophe, uint8_t position)
+{
+ uint32_t tmp = 0x00;
+
+ Convert(ch, point, apostrophe); /*!< Convert the corresponding character */
+
+ switch (position)
+ {
+ case 7:
+ /*!< Clear the corresponding segments (SEG0, SEG1, SEG2, SEG3) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0xFFFFFFF0);
+
+ /*!< Write the corresponding segments (SEG0, SEG1, SEG2, SEG3) */
+ LCD->RAM[LCD_RAMRegister_0] |= (uint32_t)(digit[0]);
+ LCD->RAM[LCD_RAMRegister_2] |= (uint32_t)(digit[1]);
+ LCD->RAM[LCD_RAMRegister_4] |= (uint32_t)(digit[2]);
+ LCD->RAM[LCD_RAMRegister_6] |= (uint32_t)(digit[3]);
+ break;
+
+ case 6:
+ /*!< Clear the corresponding segments (SEG4, SEG5, SEG6, SEG10) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0xFFFFFB8F);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0xFFFFFB8F);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0xFFFFFB8F);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0xFFFFFB8F);
+
+ /*!< Write the corresponding segments (SEG4, SEG5, SEG6, SEG10) */
+ tmp = (((digit[0] & 0x8) << 7) | ((digit[0]& 0x7) << 4));
+ LCD->RAM[LCD_RAMRegister_0] |= (uint32_t) tmp;
+ tmp = (((digit[1] & 0x8) << 7) | ((digit[1]& 0x7) << 4));
+ LCD->RAM[LCD_RAMRegister_2] |= (uint32_t) tmp;
+ tmp = (((digit[2] & 0x8) << 7) | ((digit[2]& 0x7) << 4));
+ LCD->RAM[LCD_RAMRegister_4] |= (uint32_t) tmp;
+ tmp = (((digit[3] & 0x8) << 7) | ((digit[3]& 0x7) << 4));
+ LCD->RAM[LCD_RAMRegister_6] |= (uint32_t) tmp;
+ break;
+
+ case 5:
+ /*!< Clear the corresponding segments (SEG11, SEG16, SEG18, SEG19) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0xFFF2F7FF);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0xFFF2F7FF);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0xFFF2F7FF);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0xFFF2F7FF);
+
+ /*!< Write the corresponding segments (SEG11, SEG16, SEG18, SEG19) */
+ tmp = (((digit[0] & 0x1) << 11) | ((digit[0]& 0x2) << 15) | ((digit[0]& 0xC) << 16));
+ LCD->RAM[LCD_RAMRegister_0] |= (uint32_t)(tmp);
+ tmp = (((digit[1] & 0x1) << 11) | ((digit[1]& 0x2) << 15) | ((digit[1]& 0xC) << 16));
+ LCD->RAM[LCD_RAMRegister_2] |= (uint32_t)(tmp);
+ tmp = (((digit[2] & 0x1) << 11) | ((digit[2]& 0x2) << 15) | ((digit[2]& 0xC) << 16));
+ LCD->RAM[LCD_RAMRegister_4] |= (uint32_t)(tmp);
+ tmp = (((digit[3] & 0x1) << 11) | ((digit[3]& 0x2) << 15) | ((digit[3]& 0xC) << 16));
+ LCD->RAM[LCD_RAMRegister_6] |= (uint32_t)(tmp);
+ break;
+
+ case 4:
+ /*!< Clear the corresponding segments (SEG20, SEG21, SEG22, SEG23) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0xFF0FFFFF);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0xFF0FFFFF);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0xFF0FFFFF);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0xFF0FFFFF);
+
+ /*!< Write the corresponding segments (SEG20, SEG21, SEG22, SEG23) */
+ LCD->RAM[LCD_RAMRegister_0] |= (uint32_t)(digit[0] << 20);
+ LCD->RAM[LCD_RAMRegister_2] |= (uint32_t)(digit[1] << 20);
+ LCD->RAM[LCD_RAMRegister_4] |= (uint32_t)(digit[2] << 20);
+ LCD->RAM[LCD_RAMRegister_6] |= (uint32_t)(digit[3] << 20);
+ break;
+
+ case 3:
+ /*!< Clear the corresponding segments (SEG28, SEG29, SEG30, SEG31) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0x0FFFFFFF);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0x0FFFFFFF);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0x0FFFFFFF);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0x0FFFFFFF);
+
+ /*!< Write the corresponding segments (SEG28, SEG29, SEG30, SEG31) */
+ LCD->RAM[LCD_RAMRegister_0] |= (uint32_t)(digit[0] << 28);
+ LCD->RAM[LCD_RAMRegister_2] |= (uint32_t)(digit[1] << 28);
+ LCD->RAM[LCD_RAMRegister_4] |= (uint32_t)(digit[2] << 28);
+ LCD->RAM[LCD_RAMRegister_6] |= (uint32_t)(digit[3] << 28);
+ break;
+
+ case 2:
+ /*!< Clear the corresponding segments (SEG32, SEG33, SEG34, SEG35) */
+ LCD->RAM[LCD_RAMRegister_1] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_3] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_5] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_7] &= (uint32_t)(0xFFFFFFF0);
+
+ /*!< Write the corresponding segments (SEG32, SEG33, SEG34, SEG35) */
+ LCD->RAM[LCD_RAMRegister_1] |= (uint32_t)(digit[0] << 0);
+ LCD->RAM[LCD_RAMRegister_3] |= (uint32_t)(digit[1] << 0);
+ LCD->RAM[LCD_RAMRegister_5] |= (uint32_t)(digit[2] << 0);
+ LCD->RAM[LCD_RAMRegister_7] |= (uint32_t)(digit[3] << 0);
+ break;
+
+ case 1:
+ /*!< Clear the corresponding segments (SEG36, SEG37, SEG38, SEG39) */
+ LCD->RAM[LCD_RAMRegister_1] &= (uint32_t)(0xFFFFFF0F);
+ LCD->RAM[LCD_RAMRegister_3] &= (uint32_t)(0xFFFFFF0F);
+ LCD->RAM[LCD_RAMRegister_5] &= (uint32_t)(0xFFFFFF0F);
+ LCD->RAM[LCD_RAMRegister_7] &= (uint32_t)(0xFFFFFF0F);
+
+ /*!< Write the corresponding segments (SEG36, SEG37, SEG38, SEG39) */
+ LCD->RAM[LCD_RAMRegister_1] |= (uint32_t)(digit[0] << 4);
+ LCD->RAM[LCD_RAMRegister_3] |= (uint32_t)(digit[1] << 4);
+ LCD->RAM[LCD_RAMRegister_5] |= (uint32_t)(digit[2] << 4);
+ LCD->RAM[LCD_RAMRegister_7] |= (uint32_t)(digit[3] << 4);
+
+ break;
+
+ case 0:
+ /*!< Clear the corresponding segments (SEG40, SEG41, SEG42, SEG43) */
+ LCD->RAM[LCD_RAMRegister_1] &= (uint32_t)(0xFFFFF0FF);
+ LCD->RAM[LCD_RAMRegister_3] &= (uint32_t)(0xFFFFF0FF);
+ LCD->RAM[LCD_RAMRegister_5] &= (uint32_t)(0xFFFFF0FF);
+ LCD->RAM[LCD_RAMRegister_7] &= (uint32_t)(0xFFFFF0FF);
+
+ /*!< Write the corresponding segments (SEG40, SEG41, SEG42, SEG43) */
+ LCD->RAM[LCD_RAMRegister_1] |= (uint32_t)(digit[0] << 8);
+ LCD->RAM[LCD_RAMRegister_3] |= (uint32_t)(digit[1] << 8);
+ LCD->RAM[LCD_RAMRegister_5] |= (uint32_t)(digit[2] << 8);
+ LCD->RAM[LCD_RAMRegister_7] |= (uint32_t)(digit[3] << 8);
+ break;
+ }
+}
+
+/**
+ * @brief Display a string in scrolling mode
+ * @note The LCD should be cleared before to start the write operation.
+ * @param ptr: Pointer to string to display on the LCD Glass.
+ * @param nScroll: Specifies how many time the message will be scrolled
+ * @param ScrollSpeed: Speciifes the speed of the scroll.
+ * Low value gives higher speed.
+ * @retval None
+ */
+void LCD_GLASS_ScrollString(uint8_t* ptr, uint16_t nScroll, uint16_t ScrollSpeed)
+{
+ uint8_t Repetition = 0;
+ uint8_t* ptr1;
+ uint8_t str[8] = "";
+ ptr1 = ptr;
+
+ LCD_GLASS_DisplayString(ptr1);
+
+ delay(ScrollSpeed);
+
+ for (Repetition = 0; Repetition < nScroll; Repetition++)
+ {
+ *(str + 1) = *ptr1;
+ *(str + 2) = *(ptr1 + 1);
+ *(str + 3) = *(ptr1 + 2);
+ *(str + 4) = *(ptr1 + 3);
+ *(str + 5) = *(ptr1 + 4);
+ *(str + 6) = *(ptr1 + 5);
+ *(str + 7) =*(ptr1 + 6);
+ *(str) = *(ptr1 + 7);
+ LCD_GLASS_Clear();
+ LCD_GLASS_DisplayString(str);
+ delay(ScrollSpeed);
+
+ *(str + 1) = *(ptr1 + 7);
+ *(str + 2) = *ptr1;
+ *(str + 3) = *(ptr1 + 1);
+ *(str + 4) = *(ptr1 + 2);
+ *(str + 5) = *(ptr1 + 3);
+ *(str + 6) = *(ptr1 + 4);
+ *(str + 7) = *(ptr1 + 5);
+ *(str) = *(ptr1 + 6);
+ LCD_GLASS_Clear();
+ LCD_GLASS_DisplayString(str);
+ delay(ScrollSpeed);
+
+ *(str + 1) = *(ptr1 + 6);
+ *(str + 2) = *(ptr1 + 7);
+ *(str + 3) = *ptr1;
+ *(str + 4) = *(ptr1 + 1);
+ *(str + 5) = *(ptr1 + 2);
+ *(str + 6) = *(ptr1 + 3);
+ *(str + 7) = *(ptr1 + 4);
+ *(str) = *(ptr1 + 5);
+ LCD_GLASS_Clear();
+ LCD_GLASS_DisplayString(str);
+ delay(ScrollSpeed);
+
+ *(str + 1) = *(ptr1 + 5);
+ *(str + 2) = *(ptr1 + 6);
+ *(str + 3) = *(ptr1 + 7);
+ *(str + 4) = *ptr1;
+ *(str + 5) = *(ptr1 + 1);
+ *(str + 6) = *(ptr1 + 2);
+ *(str + 7) = *(ptr1 + 3);
+ *(str) = *(ptr1 + 4);
+ LCD_GLASS_Clear();
+ LCD_GLASS_DisplayString(str);
+ delay(ScrollSpeed);
+
+ *(str + 1) = *(ptr1 + 4);
+ *(str + 2) = *(ptr1 + 5);
+ *(str + 3) = *(ptr1 + 6);
+ *(str + 4) = *(ptr1 + 7);
+ *(str + 5) = *ptr1;
+ *(str + 6) = *(ptr1 + 1);
+ *(str + 7) = *(ptr1 + 2);
+ *(str) = *(ptr1 + 3);
+ LCD_GLASS_Clear();
+ LCD_GLASS_DisplayString(str);
+ delay(ScrollSpeed);
+
+ *(str + 1) = *(ptr1 + 3);
+ *(str + 2) = *(ptr1 + 4);
+ *(str + 3) = *(ptr1 + 5);
+ *(str + 4) = *(ptr1 + 6);
+ *(str + 5) = *(ptr1 + 7);
+ *(str + 6) = *ptr1;
+ *(str + 7) = *(ptr1 + 1);
+ *(str) = *(ptr1 + 2);
+ LCD_GLASS_Clear();
+ LCD_GLASS_DisplayString(str);
+ delay(ScrollSpeed);
+
+ *(str + 1) = *(ptr1 + 2);
+ *(str + 2) = *(ptr1 + 3);
+ *(str + 3) = *(ptr1 + 4);
+ *(str + 4) = *(ptr1 + 5);
+ *(str + 5) = *(ptr1 + 6);
+ *(str + 6) = *(ptr1 + 7);
+ *(str + 7) = *ptr1;
+ *(str) = *(ptr1 + 1);
+ LCD_GLASS_Clear();
+ LCD_GLASS_DisplayString(str);
+ delay(ScrollSpeed);
+
+ *(str + 1) = *(ptr1 + 1);
+ *(str + 2) = *(ptr1 + 2);
+ *(str + 3) = *(ptr1 + 3);
+ *(str + 4) = *(ptr1 + 4);
+ *(str + 5) = *(ptr1 + 5);
+ *(str + 6) = *(ptr1 + 6);
+ *(str + 7) = *(ptr1 + 7);
+ *(str) = *(ptr1);
+ LCD_GLASS_Clear();
+ LCD_GLASS_DisplayString(str);
+ delay(ScrollSpeed);
+ }
+}
+
+/**
+ * @brief This function Clear a char in the LCD RAM.
+ * @param position: Position in the LCD of the caracter to write.
+ * This parameter can be any value in range [0:7].
+ * @retval None
+ */
+void LCD_GLASS_ClearChar(uint8_t position)
+{
+ switch (position)
+ {
+ case 7:
+ /*!< Clear the corresponding segments (SEG0, SEG1, SEG2, SEG3) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0xFFFFFFF0);
+ break;
+
+ case 6:
+ /*!< Clear the corresponding segments (SEG4, SEG5, SEG6, SEG10) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0xFFFFFB8F);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0xFFFFFB8F);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0xFFFFFB8F);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0xFFFFFB8F);
+ break;
+
+ case 5:
+ /*!< Clear the corresponding segments (SEG11, SEG16, SEG18, SEG19) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0xFFF2F7FF);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0xFFF2F7FF);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0xFFF2F7FF);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0xFFF2F7FF);
+ break;
+
+ case 4:
+ /*!< Clear the corresponding segments (SEG20, SEG21, SEG22, SEG23) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0xFF0FFFFF);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0xFF0FFFFF);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0xFF0FFFFF);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0xFF0FFFFF);
+ break;
+
+ case 3:
+ /*!< Clear the corresponding segments (SEG28, SEG29, SEG30, SEG31) */
+ LCD->RAM[LCD_RAMRegister_0] &= (uint32_t)(0x0FFFFFFF);
+ LCD->RAM[LCD_RAMRegister_2] &= (uint32_t)(0x0FFFFFFF);
+ LCD->RAM[LCD_RAMRegister_4] &= (uint32_t)(0x0FFFFFFF);
+ LCD->RAM[LCD_RAMRegister_6] &= (uint32_t)(0x0FFFFFFF);
+ break;
+
+ case 2:
+ /*!< Clear the corresponding segments (SEG32, SEG33, SEG34, SEG35) */
+ LCD->RAM[LCD_RAMRegister_1] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_3] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_5] &= (uint32_t)(0xFFFFFFF0);
+ LCD->RAM[LCD_RAMRegister_7] &= (uint32_t)(0xFFFFFFF0);
+ break;
+
+ case 1:
+ /*!< Clear the corresponding segments (SEG36, SEG37, SEG38, SEG39) */
+ LCD->RAM[LCD_RAMRegister_1] &= (uint32_t)(0xFFFFFF0F);
+ LCD->RAM[LCD_RAMRegister_3] &= (uint32_t)(0xFFFFFF0F);
+ LCD->RAM[LCD_RAMRegister_5] &= (uint32_t)(0xFFFFFF0F);
+ LCD->RAM[LCD_RAMRegister_7] &= (uint32_t)(0xFFFFFF0F);
+ break;
+
+ case 0:
+ /*!< Clear the corresponding segments (SEG40, SEG41, SEG42, SEG43) */
+ LCD->RAM[LCD_RAMRegister_1] &= (uint32_t)(0xFFFFF0FF);
+ LCD->RAM[LCD_RAMRegister_3] &= (uint32_t)(0xFFFFF0FF);
+ LCD->RAM[LCD_RAMRegister_5] &= (uint32_t)(0xFFFFF0FF);
+ LCD->RAM[LCD_RAMRegister_7] &= (uint32_t)(0xFFFFF0FF);
+ break;
+ }
+}
+
+/**
+ * @brief This function Clear the whole LCD RAM.
+ * @param None
+ * @retval None
+ */
+void LCD_GLASS_Clear(void)
+{
+ uint32_t counter = 0;
+
+ for (counter = 0; counter < 0x0F; counter++)
+ {
+ LCD->RAM[counter] = (uint32_t)0x00;
+ }
+}
+
+/**
+ * @brief Converts an ascii char to an LCD digit.
+ * @param c: Char to display.
+ * @param point: A point to add in front of char.
+ * This parameter can be one of the following values:
+ * @arg POINT_OFF : No point to add in front of char.
+ * @arg POINT_ON : Add a point in front of char.
+ * @param apostrophe: Flag indicating if a apostrophe has to be add in front
+ * of displayed character.
+ * This parameter can be one of the following values:
+ * @arg APOSTROPHE_OFF : No apostrophe to add in back of char.
+ * @arg APOSTROPHE_ON : Add an apostrophe in back of char.
+ * @retval None
+ */
+static void Convert(uint8_t* c, Point_Typedef point, Apostrophe_Typedef apostrophe)
+{
+ uint16_t ch = 0, tmp = 0;
+ uint8_t i = 0;
+
+ /*!< The character c is a letter in upper case*/
+ if ((*c < 0x5B) & (*c > 0x40))
+ {
+ ch = LetterMap[*c - 0x41];
+ }
+
+ /*!< The character c is a number*/
+ if ((*c < 0x3A) & (*c > 0x2F))
+ {
+ ch = NumberMap[*c - 0x30];
+ }
+
+ /*!< The character c is a space character */
+ if (*c == 0x20)
+ {
+ ch =0x00;
+ }
+
+ /*!< Set the DP seg in the character that can be displayed if the point is on */
+ if (point == POINT_ON)
+ {
+ ch |= 0x0004;
+ }
+
+ /*!< Set the X seg in the character that can be displayed if the apostrophe is on */
+ if (apostrophe == APOSTROPHE_ON)
+ {
+ ch |= 0x1000;
+ }
+
+ for (i = 0; i < 4; i++)
+ {
+ tmp = ch & mask[i];
+ digit[i] =(uint8_t)(tmp >> shift[i]);
+ }
+}
+
+/**
+ * @brief Configures the LCD Segments and Coms GPIOs.
+ * @param None
+ * @retval None
+ */
+static void LCD_GPIOConfig(void)
+{
+ GPIO_InitTypeDef GPIO_InitStructure;
+
+ /*!< Enable GPIOA, GPIOB, GPIOC, GPIOD and GPIOE AHB Clocks */
+ RCC_AHBPeriphClockCmd(RCC_AHBPeriph_GPIOA | RCC_AHBPeriph_GPIOB | RCC_AHBPeriph_GPIOC
+ | RCC_AHBPeriph_GPIOD | RCC_AHBPeriph_GPIOE, ENABLE);
+
+ /*!< Connect PA.08 to LCD COM0 */
+ GPIO_PinAFConfig(GPIOA, GPIO_PinSource8, GPIO_AF_LCD);
+
+ /*!< Connect PA.09 to LCD COM1 */
+ GPIO_PinAFConfig(GPIOA, GPIO_PinSource9, GPIO_AF_LCD);
+
+ /*!< Connect PA.10 to LCD COM2 */
+ GPIO_PinAFConfig(GPIOA, GPIO_PinSource10, GPIO_AF_LCD);
+
+ /*!< Connect PB.09 to LCD COM3 */
+ GPIO_PinAFConfig(GPIOB, GPIO_PinSource9, GPIO_AF_LCD);
+
+ /*!< Connect PA.01 to LCD SEG0 */
+ GPIO_PinAFConfig(GPIOA, GPIO_PinSource1, GPIO_AF_LCD);
+
+ /*!< Connect PA.02 to LCD SEG1 */
+ GPIO_PinAFConfig(GPIOA, GPIO_PinSource2, GPIO_AF_LCD);
+
+ /*!< Connect PA.03 to LCD SEG2 */
+ GPIO_PinAFConfig(GPIOA, GPIO_PinSource3, GPIO_AF_LCD);
+
+ /*!< Connect PA.06 to LCD SEG3 */
+ GPIO_PinAFConfig(GPIOA, GPIO_PinSource6, GPIO_AF_LCD);
+
+ /*!< Connect PA.07 to LCD SEG4 */
+ GPIO_PinAFConfig(GPIOA, GPIO_PinSource7, GPIO_AF_LCD);
+
+ /*!< Connect PB.00 to LCD SEG5 */
+ GPIO_PinAFConfig(GPIOB, GPIO_PinSource0, GPIO_AF_LCD);
+
+ /*!< Connect PB.01 to LCD SEG6 */
+ GPIO_PinAFConfig(GPIOB, GPIO_PinSource1, GPIO_AF_LCD);
+
+ /*!< Connect PB.10 to LCD SEG10 */
+ GPIO_PinAFConfig(GPIOB, GPIO_PinSource10, GPIO_AF_LCD);
+
+ /*!< Connect PB.11 to LCD SEG11 */
+ GPIO_PinAFConfig(GPIOB, GPIO_PinSource11, GPIO_AF_LCD);
+
+ /*!< Connect PB.08 to LCD SEG16 */
+ GPIO_PinAFConfig(GPIOB, GPIO_PinSource8, GPIO_AF_LCD);
+
+ /*!< Connect PC.00 to LCD SEG18 */
+ GPIO_PinAFConfig(GPIOC, GPIO_PinSource0, GPIO_AF_LCD);
+
+ /*!< Connect PC.01 to LCD SEG19 */
+ GPIO_PinAFConfig(GPIOC, GPIO_PinSource1, GPIO_AF_LCD);
+
+ /*!< Connect PC.02 to LCD SEG20 */
+ GPIO_PinAFConfig(GPIOC, GPIO_PinSource2, GPIO_AF_LCD);
+
+ /*!< Connect PC.03 to LCD SEG21 */
+ GPIO_PinAFConfig(GPIOC, GPIO_PinSource3, GPIO_AF_LCD);
+
+ /*!< Connect PC.04 to LCD SEG22 */
+ GPIO_PinAFConfig(GPIOC, GPIO_PinSource4, GPIO_AF_LCD);
+
+ /*!< Connect PC.05 to LCD SEG23 */
+ GPIO_PinAFConfig(GPIOC, GPIO_PinSource5, GPIO_AF_LCD);
+
+ /*!< Connect PD.08 to LCD SEG28 */
+ GPIO_PinAFConfig(GPIOD, GPIO_PinSource8, GPIO_AF_LCD);
+
+ /*!< Connect PD.09 to LCD SEG29 */
+ GPIO_PinAFConfig(GPIOD, GPIO_PinSource9, GPIO_AF_LCD);
+
+ /*!< Connect PD.10 to LCD SEG30 */
+ GPIO_PinAFConfig(GPIOD, GPIO_PinSource10, GPIO_AF_LCD);
+
+ /*!< Connect PD.11 to LCD SEG31 */
+ GPIO_PinAFConfig(GPIOD, GPIO_PinSource11, GPIO_AF_LCD);
+
+ /*!< Connect PD.12 to LCD SEG32 */
+ GPIO_PinAFConfig(GPIOD, GPIO_PinSource12, GPIO_AF_LCD);
+
+ /*!< Connect PD.13 to LCD SEG33 */
+ GPIO_PinAFConfig(GPIOD, GPIO_PinSource13, GPIO_AF_LCD);
+
+ /*!< Connect PD.14 to LCD SEG34 */
+ GPIO_PinAFConfig(GPIOD, GPIO_PinSource14, GPIO_AF_LCD);
+
+ /*!< Connect PD.15 to LCD SEG35 */
+ GPIO_PinAFConfig(GPIOD, GPIO_PinSource15, GPIO_AF_LCD);
+
+ /*!< Connect PE.00 to LCD SEG36 */
+ GPIO_PinAFConfig(GPIOE, GPIO_PinSource0, GPIO_AF_LCD);
+
+ /*!< Connect PE.01 to LCD SEG37 */
+ GPIO_PinAFConfig(GPIOE, GPIO_PinSource1, GPIO_AF_LCD);
+
+ /*!< Connect PE.02 to LCD SEG38 */
+ GPIO_PinAFConfig(GPIOE, GPIO_PinSource2, GPIO_AF_LCD);
+
+ /*!< Connect PE.03 to LCD SEG39 */
+ GPIO_PinAFConfig(GPIOE, GPIO_PinSource3, GPIO_AF_LCD);
+
+ /*!< Connect PC.10 to LCD SEG40 */
+ GPIO_PinAFConfig(GPIOC, GPIO_PinSource10, GPIO_AF_LCD);
+
+ /*!< Connect PC.11 to LCD SEG41 */
+ GPIO_PinAFConfig(GPIOC, GPIO_PinSource11, GPIO_AF_LCD);
+
+ /*!< Connect PC.12 to LCD SEG42 */
+ GPIO_PinAFConfig(GPIOC, GPIO_PinSource12, GPIO_AF_LCD);
+
+ /*!< Connect PD.02 to LCD SEG43 */
+ GPIO_PinAFConfig(GPIOD, GPIO_PinSource2, GPIO_AF_LCD);
+
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_1 | GPIO_Pin_2 | GPIO_Pin_3 | GPIO_Pin_6 | \
+ GPIO_Pin_7 | GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10;
+ GPIO_InitStructure.GPIO_Speed = GPIO_Speed_40MHz;
+ GPIO_InitStructure.GPIO_Mode = GPIO_Mode_AF;
+ GPIO_InitStructure.GPIO_OType = GPIO_OType_PP;
+ GPIO_InitStructure.GPIO_PuPd = GPIO_PuPd_NOPULL;
+ GPIO_Init(GPIOA, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1 | GPIO_Pin_8 | GPIO_Pin_9 | \
+ GPIO_Pin_10 | GPIO_Pin_11;
+ GPIO_Init(GPIOB, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1 | GPIO_Pin_2 | GPIO_Pin_3 | \
+ GPIO_Pin_4 | GPIO_Pin_5 | GPIO_Pin_10 | GPIO_Pin_11 | \
+ GPIO_Pin_12;
+ GPIO_Init(GPIOC, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_2 | GPIO_Pin_8 | GPIO_Pin_9 | GPIO_Pin_10 | \
+ GPIO_Pin_11 | GPIO_Pin_12 | GPIO_Pin_13 | GPIO_Pin_14 | \
+ GPIO_Pin_15;
+ GPIO_Init(GPIOD, &GPIO_InitStructure);
+
+ GPIO_InitStructure.GPIO_Pin = GPIO_Pin_0 | GPIO_Pin_1 | GPIO_Pin_2 | GPIO_Pin_3;
+ GPIO_Init(GPIOE, &GPIO_InitStructure);
+}
+
+/**
+ * @brief Inserts a delay time.
+ * @param nCount: specifies the delay time length.
+ * @retval None
+ */
+static void delay(__IO uint32_t nCount)
+{
+ __IO uint32_t index = 0;
+ for(index = (0xFF * nCount); index != 0; index--)
+ {
+ }
+}
+
+/**
+ * @}
+ */
+
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_glass_lcd.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_glass_lcd.h
new file mode 100644
index 0000000..60f7854
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_glass_lcd.h
@@ -0,0 +1,125 @@
+/**
+ ******************************************************************************
+ * @file stm32l152_eval_glass_lcd.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief Header file for stm32l152_eval_glass_lcd.c module.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM32L152_EVAL_GLASS_LCD_H
+#define __STM32L152_EVAL_GLASS_LCD_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32l1xx.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32L152_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32L152_EVAL_GLASS_LCD
+ * @{
+ */
+
+
+/** @defgroup STM32L152_EVAL_GLASS_LCD_Exported_Types
+ * @{
+ */
+typedef enum
+{
+ POINT_OFF = 0,
+ POINT_ON = 1
+}Point_Typedef;
+
+typedef enum
+{
+ APOSTROPHE_OFF = 0,
+ APOSTROPHE_ON = 1
+}Apostrophe_Typedef;
+/**
+ * @}
+ */
+
+/** @defgroup STM32L152_EVAL_GLASS_LCD_Exported_Constants
+ * @{
+ */
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32L152_EVAL_GLASS_LCD_Exported_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32L152_EVAL_GLASS_LCD_Exported_Functions
+ * @{
+ */
+void LCD_GLASS_Init(void);
+void LCD_GLASS_DisplayChar(uint8_t* ch, Point_Typedef point, Apostrophe_Typedef apostrophe,uint8_t position);
+void LCD_GLASS_DisplayString(uint8_t* ptr);
+void LCD_GLASS_WriteChar(uint8_t* ch, Point_Typedef point, Apostrophe_Typedef apostrophe,uint8_t position);
+void LCD_GLASS_ClearChar(uint8_t position);
+void LCD_GLASS_Clear(void);
+void LCD_GLASS_ScrollString(uint8_t* ptr, uint16_t nScroll, uint16_t ScrollSpeed);
+
+/**
+ * @}
+ */
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __STM32L152_EVAL_GLASS_LCD_H */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_lcd.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_lcd.h
new file mode 100644
index 0000000..6c7f982
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/STM32L152_EVAL/stm32l152_eval_lcd.h
@@ -0,0 +1,392 @@
+/**
+ ******************************************************************************
+ * @file stm32l152_eval_lcd.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief This file contains all the functions prototypes for the stm32l152_eval_lcd
+ * firmware driver.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM32L152_EVAL_LCD_H
+#define __STM32L152_EVAL_LCD_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32l1xx.h"
+#include "../Common/fonts.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32L152_EVAL
+ * @{
+ */
+
+/** @addtogroup STM32L152_EVAL_LCD
+ * @{
+ */
+
+
+/** @defgroup STM32L152_EVAL_LCD_Exported_Types
+ * @{
+ */
+typedef struct
+{
+ int16_t X;
+ int16_t Y;
+} Point, * pPoint;
+/**
+ * @}
+ */
+
+/** @defgroup STM32L152_EVAL_LCD_Exported_Constants
+ * @{
+ */
+
+/**
+ * @brief Uncomment the line below if you want to use LCD_DrawBMP function to
+ * display a bitmap picture on the LCD. This function assumes that the bitmap
+ * file is loaded in the SPI Flash (mounted on STM32L152-EVAL board), however
+ * user can tailor it according to his application hardware requirement.
+ */
+/*#define USE_LCD_DrawBMP*/
+
+/**
+ * @brief Uncomment the line below if you want to use user defined Delay function
+ * (for precise timing), otherwise default _delay_ function defined within
+ * this driver is used (less precise timing).
+ */
+/* #define USE_Delay */
+
+#ifdef USE_Delay
+#include "main.h"
+
+ #define _delay_ Delay /* !< User can provide more timing precise _delay_ function
+ (with 10ms time base), using SysTick for example */
+#else
+ #define _delay_ delay /* !< Default _delay_ function with less precise timing */
+#endif
+
+
+/**
+ * @brief LCD Control pins
+ */
+#define LCD_NCS_PIN GPIO_Pin_2
+#define LCD_NCS_GPIO_PORT GPIOH
+#define LCD_NCS_GPIO_CLK RCC_AHBPeriph_GPIOH
+
+/**
+ * @brief LCD SPI Interface pins
+ */
+#define LCD_SPI_SCK_PIN GPIO_Pin_13 /* PE.13 */
+#define LCD_SPI_SCK_GPIO_PORT GPIOE /* GPIOE */
+#define LCD_SPI_SCK_GPIO_CLK RCC_AHBPeriph_GPIOE
+#define LCD_SPI_SCK_SOURCE GPIO_PinSource13
+#define LCD_SPI_SCK_AF GPIO_AF_SPI1
+#define LCD_SPI_MISO_PIN GPIO_Pin_14 /* PE.14 */
+#define LCD_SPI_MISO_GPIO_PORT GPIOE /* GPIOE */
+#define LCD_SPI_MISO_GPIO_CLK RCC_AHBPeriph_GPIOE
+#define LCD_SPI_MISO_SOURCE GPIO_PinSource14
+#define LCD_SPI_MISO_AF GPIO_AF_SPI1
+#define LCD_SPI_MOSI_PIN GPIO_Pin_15 /* PE.15 */
+#define LCD_SPI_MOSI_GPIO_PORT GPIOE /* GPIOE */
+#define LCD_SPI_MOSI_GPIO_CLK RCC_AHBPeriph_GPIOE
+#define LCD_SPI_MOSI_SOURCE GPIO_PinSource15
+#define LCD_SPI_MOSI_AF GPIO_AF_SPI1
+#define LCD_SPI SPI1
+#define LCD_SPI_CLK RCC_APB2Periph_SPI1
+
+
+/**
+ * @brief LCD Registers
+ */
+#define LCD_REG_0 0x00
+#define LCD_REG_1 0x01
+#define LCD_REG_2 0x02
+#define LCD_REG_3 0x03
+#define LCD_REG_4 0x04
+#define LCD_REG_5 0x05
+#define LCD_REG_6 0x06
+#define LCD_REG_7 0x07
+#define LCD_REG_8 0x08
+#define LCD_REG_9 0x09
+#define LCD_REG_10 0x0A
+#define LCD_REG_12 0x0C
+#define LCD_REG_13 0x0D
+#define LCD_REG_14 0x0E
+#define LCD_REG_15 0x0F
+#define LCD_REG_16 0x10
+#define LCD_REG_17 0x11
+#define LCD_REG_18 0x12
+#define LCD_REG_19 0x13
+#define LCD_REG_20 0x14
+#define LCD_REG_21 0x15
+#define LCD_REG_22 0x16
+#define LCD_REG_23 0x17
+#define LCD_REG_24 0x18
+#define LCD_REG_25 0x19
+#define LCD_REG_26 0x1A
+#define LCD_REG_27 0x1B
+#define LCD_REG_28 0x1C
+#define LCD_REG_29 0x1D
+#define LCD_REG_30 0x1E
+#define LCD_REG_31 0x1F
+#define LCD_REG_32 0x20
+#define LCD_REG_33 0x21
+#define LCD_REG_34 0x22
+#define LCD_REG_36 0x24
+#define LCD_REG_37 0x25
+#define LCD_REG_40 0x28
+#define LCD_REG_41 0x29
+#define LCD_REG_43 0x2B
+#define LCD_REG_45 0x2D
+#define LCD_REG_48 0x30
+#define LCD_REG_49 0x31
+#define LCD_REG_50 0x32
+#define LCD_REG_51 0x33
+#define LCD_REG_52 0x34
+#define LCD_REG_53 0x35
+#define LCD_REG_54 0x36
+#define LCD_REG_55 0x37
+#define LCD_REG_56 0x38
+#define LCD_REG_57 0x39
+#define LCD_REG_59 0x3B
+#define LCD_REG_60 0x3C
+#define LCD_REG_61 0x3D
+#define LCD_REG_62 0x3E
+#define LCD_REG_63 0x3F
+#define LCD_REG_64 0x40
+#define LCD_REG_65 0x41
+#define LCD_REG_66 0x42
+#define LCD_REG_67 0x43
+#define LCD_REG_68 0x44
+#define LCD_REG_69 0x45
+#define LCD_REG_70 0x46
+#define LCD_REG_71 0x47
+#define LCD_REG_72 0x48
+#define LCD_REG_73 0x49
+#define LCD_REG_74 0x4A
+#define LCD_REG_75 0x4B
+#define LCD_REG_76 0x4C
+#define LCD_REG_77 0x4D
+#define LCD_REG_78 0x4E
+#define LCD_REG_79 0x4F
+#define LCD_REG_80 0x50
+#define LCD_REG_81 0x51
+#define LCD_REG_82 0x52
+#define LCD_REG_83 0x53
+#define LCD_REG_96 0x60
+#define LCD_REG_97 0x61
+#define LCD_REG_106 0x6A
+#define LCD_REG_118 0x76
+#define LCD_REG_128 0x80
+#define LCD_REG_129 0x81
+#define LCD_REG_130 0x82
+#define LCD_REG_131 0x83
+#define LCD_REG_132 0x84
+#define LCD_REG_133 0x85
+#define LCD_REG_134 0x86
+#define LCD_REG_135 0x87
+#define LCD_REG_136 0x88
+#define LCD_REG_137 0x89
+#define LCD_REG_139 0x8B
+#define LCD_REG_140 0x8C
+#define LCD_REG_141 0x8D
+#define LCD_REG_143 0x8F
+#define LCD_REG_144 0x90
+#define LCD_REG_145 0x91
+#define LCD_REG_146 0x92
+#define LCD_REG_147 0x93
+#define LCD_REG_148 0x94
+#define LCD_REG_149 0x95
+#define LCD_REG_150 0x96
+#define LCD_REG_151 0x97
+#define LCD_REG_152 0x98
+#define LCD_REG_153 0x99
+#define LCD_REG_154 0x9A
+#define LCD_REG_157 0x9D
+#define LCD_REG_192 0xC0
+#define LCD_REG_193 0xC1
+#define LCD_REG_227 0xE3
+#define LCD_REG_229 0xE5
+#define LCD_REG_231 0xE7
+#define LCD_REG_239 0xEF
+
+
+/**
+ * @brief LCD color
+ */
+#define LCD_COLOR_WHITE 0xFFFF
+#define LCD_COLOR_BLACK 0x0000
+#define LCD_COLOR_GREY 0xF7DE
+#define LCD_COLOR_BLUE 0x001F
+#define LCD_COLOR_BLUE2 0x051F
+#define LCD_COLOR_RED 0xF800
+#define LCD_COLOR_MAGENTA 0xF81F
+#define LCD_COLOR_GREEN 0x07E0
+#define LCD_COLOR_CYAN 0x7FFF
+#define LCD_COLOR_YELLOW 0xFFE0
+
+/**
+ * @brief LCD Lines depending on the chosen fonts.
+ */
+#define LCD_LINE_0 LINE(0)
+#define LCD_LINE_1 LINE(1)
+#define LCD_LINE_2 LINE(2)
+#define LCD_LINE_3 LINE(3)
+#define LCD_LINE_4 LINE(4)
+#define LCD_LINE_5 LINE(5)
+#define LCD_LINE_6 LINE(6)
+#define LCD_LINE_7 LINE(7)
+#define LCD_LINE_8 LINE(8)
+#define LCD_LINE_9 LINE(9)
+#define LCD_LINE_10 LINE(10)
+#define LCD_LINE_11 LINE(11)
+#define LCD_LINE_12 LINE(12)
+#define LCD_LINE_13 LINE(13)
+#define LCD_LINE_14 LINE(14)
+#define LCD_LINE_15 LINE(15)
+#define LCD_LINE_16 LINE(16)
+#define LCD_LINE_17 LINE(17)
+#define LCD_LINE_18 LINE(18)
+#define LCD_LINE_19 LINE(19)
+#define LCD_LINE_20 LINE(20)
+#define LCD_LINE_21 LINE(21)
+#define LCD_LINE_22 LINE(22)
+#define LCD_LINE_23 LINE(23)
+#define LCD_LINE_24 LINE(24)
+#define LCD_LINE_25 LINE(25)
+#define LCD_LINE_26 LINE(26)
+#define LCD_LINE_27 LINE(27)
+#define LCD_LINE_28 LINE(28)
+#define LCD_LINE_29 LINE(29)
+
+
+/**
+ * @brief LCD default font
+ */
+#define LCD_DEFAULT_FONT Font16x24
+
+/**
+ * @brief LCD Direction
+ */
+#define LCD_DIR_HORIZONTAL 0x0000
+#define LCD_DIR_VERTICAL 0x0001
+
+/**
+ * @brief LCD Size (Width and Height)
+ */
+#define LCD_PIXEL_WIDTH 0x0140
+#define LCD_PIXEL_HEIGHT 0x00F0
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32L152_EVAL_LCD_Exported_Macros
+ * @{
+ */
+#define ASSEMBLE_RGB(R, G, B) ((((R)& 0xF8) << 8) | (((G) & 0xFC) << 3) | (((B) & 0xF8) >> 3))
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32L152_EVAL_LCD_Exported_Functions
+ * @{
+ */
+void STM32L152_LCD_DeInit(void);
+void LCD_Setup(void);
+void STM32L152_LCD_Init(void);
+void LCD_SetColors(__IO uint16_t _TextColor, __IO uint16_t _BackColor);
+void LCD_GetColors(__IO uint16_t *_TextColor, __IO uint16_t *_BackColor);
+void LCD_SetTextColor(__IO uint16_t Color);
+void LCD_SetBackColor(__IO uint16_t Color);
+void LCD_ClearLine(uint8_t Line);
+void LCD_Clear(uint16_t Color);
+void LCD_SetCursor(uint8_t Xpos, uint16_t Ypos);
+void LCD_DrawChar(uint8_t Xpos, uint16_t Ypos, const uint16_t *c);
+void LCD_DisplayChar(uint8_t Line, uint16_t Column, uint8_t Ascii);
+void LCD_SetFont(sFONT *fonts);
+sFONT *LCD_GetFont(void);
+void LCD_DisplayStringLine(uint8_t Line, uint8_t *ptr);
+void LCD_SetDisplayWindow(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width);
+void LCD_WindowModeDisable(void);
+void LCD_DrawLine(uint8_t Xpos, uint16_t Ypos, uint16_t Length, uint8_t Direction);
+void LCD_DrawRect(uint8_t Xpos, uint16_t Ypos, uint8_t Height, uint16_t Width);
+void LCD_DrawCircle(uint8_t Xpos, uint16_t Ypos, uint16_t Radius);
+void LCD_DrawMonoPict(const uint32_t *Pict);
+void LCD_DrawBMP(uint32_t BmpAddress);
+void LCD_DrawUniLine(uint16_t x1, uint16_t y1, uint16_t x2, uint16_t y2);
+void LCD_DrawFullRect(uint16_t Xpos, uint16_t Ypos, uint16_t Width, uint16_t Height);
+void LCD_DrawFullCircle(uint16_t Xpos, uint16_t Ypos, uint16_t Radius);
+void LCD_PolyLine(pPoint Points, uint16_t PointCount);
+void LCD_PolyLineRelative(pPoint Points, uint16_t PointCount);
+void LCD_ClosedPolyLine(pPoint Points, uint16_t PointCount);
+void LCD_ClosedPolyLineRelative(pPoint Points, uint16_t PointCount);
+void LCD_FillPolyLine(pPoint Points, uint16_t PointCount);
+void LCD_nCS_StartByte(uint8_t Start_Byte);
+void LCD_WriteRegIndex(uint8_t LCD_Reg);
+void LCD_WriteReg(uint8_t LCD_Reg, uint16_t LCD_RegValue);
+void LCD_WriteRAM_Prepare(void);
+void LCD_WriteRAMWord(uint16_t RGB_Code);
+uint16_t LCD_ReadReg(uint8_t LCD_Reg);
+void LCD_WriteRAM(uint16_t RGB_Code);
+void LCD_PowerOn(void);
+void LCD_DisplayOn(void);
+void LCD_DisplayOff(void);
+
+void LCD_CtrlLinesConfig(void);
+void LCD_CtrlLinesWrite(GPIO_TypeDef* GPIOx, uint16_t CtrlPins, BitAction BitVal);
+void LCD_SPIConfig(void);
+
+/**
+ * @}
+ */
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif /* __STM32L152_EVAL_LCD_H */
+
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/stm32_eval.c b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/stm32_eval.c
new file mode 100644
index 0000000..9b6984b
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/stm32_eval.c
@@ -0,0 +1,120 @@
+/**
+ ******************************************************************************
+ * @file stm32_eval.c
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief STM32xx-EVAL abstraction layer.
+ * This file should be added to the main application to use the provided
+ * functions that manage Leds, push-buttons, COM ports and low level
+ * HW resources initialization of the different modules available on
+ * STM32 evaluation boards from STMicroelectronics.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Includes ------------------------------------------------------------------*/
+#include "stm32_eval.h"
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @defgroup STM32_EVAL
+ * @brief This file provides firmware functions to manage Leds, push-buttons,
+ * COM ports and low level HW resources initialization of the different
+ * modules available on STM32 Evaluation Boards from STMicroelectronics.
+ * @{
+ */
+
+/** @defgroup STM32_EVAL_Abstraction_Layer
+ * @{
+ */
+
+#ifdef USE_STM32100B_EVAL
+ #include "stm32100b_eval/stm32100b_eval.c"
+#elif defined USE_STM3210B_EVAL
+ #include "stm3210b_eval/stm3210b_eval.c"
+#elif defined USE_STM3210E_EVAL
+ #include "stm3210e_eval/stm3210e_eval.c"
+#elif defined USE_STM3210C_EVAL
+ #include "stm3210c_eval/stm3210c_eval.c"
+#elif defined USE_STM32L152_EVAL
+ #include "stm32l152_eval/stm32l152_eval.c"
+#elif defined USE_STM32100E_EVAL
+ #include "stm32100e_eval/stm32100e_eval.c"
+#else
+ #error "Please select first the STM32 EVAL board to be used (in stm32_eval.h)"
+#endif
+
+/** @defgroup STM32_EVAL_Private_TypesDefinitions
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_Private_Defines
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_Private_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_Private_Variables
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_Private_FunctionPrototypes
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/** @defgroup STM32_EVAL_Private_Functions
+ * @{
+ */
+/**
+ * @}
+ */
+
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/
diff --git a/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/stm32_eval.h b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/stm32_eval.h
new file mode 100644
index 0000000..d30caff
--- /dev/null
+++ b/thirdparty/STM32F10x_StdPeriph_Lib_V3.5.0/Utilities/STM32_EVAL/stm32_eval.h
@@ -0,0 +1,368 @@
+/**
+ ******************************************************************************
+ * @file stm32_eval.h
+ * @author MCD Application Team
+ * @version V4.5.0
+ * @date 07-March-2011
+ * @brief Header file for stm32_eval.c module.
+ ******************************************************************************
+ * @attention
+ *
+ * THE PRESENT FIRMWARE WHICH IS FOR GUIDANCE ONLY AIMS AT PROVIDING CUSTOMERS
+ * WITH CODING INFORMATION REGARDING THEIR PRODUCTS IN ORDER FOR THEM TO SAVE
+ * TIME. AS A RESULT, STMICROELECTRONICS SHALL NOT BE HELD LIABLE FOR ANY
+ * DIRECT, INDIRECT OR CONSEQUENTIAL DAMAGES WITH RESPECT TO ANY CLAIMS ARISING
+ * FROM THE CONTENT OF SUCH FIRMWARE AND/OR THE USE MADE BY CUSTOMERS OF THE
+ * CODING INFORMATION CONTAINED HEREIN IN CONNECTION WITH THEIR PRODUCTS.
+ *
+ * <h2><center>&copy; COPYRIGHT 2011 STMicroelectronics</center></h2>
+ ******************************************************************************
+ */
+
+/* Define to prevent recursive inclusion -------------------------------------*/
+#ifndef __STM32_EVAL_H
+#define __STM32_EVAL_H
+
+#ifdef __cplusplus
+ extern "C" {
+#endif
+
+/* Includes ------------------------------------------------------------------*/
+
+/** @addtogroup Utilities
+ * @{
+ */
+
+/** @addtogroup STM32_EVAL
+ * @{
+ */
+
+/** @defgroup STM32_EVAL_Abstraction_Layer
+ * @{
+ */
+
+/** @defgroup STM32_EVAL_HARDWARE_RESOURCES
+ * @{
+ */
+
+/**
+@code
+ The table below gives an overview of the hardware resources supported by each
+ STM32 EVAL board.
+ - LCD: TFT Color LCD (Parallel (FSMC) and Serial (SPI))
+ - IOE: IO Expander on I2C
+ - sFLASH: serial SPI FLASH (M25Pxxx)
+ - sEE: serial I2C EEPROM (M24C08, M24C32, M24C64)
+ - TSENSOR: Temperature Sensor (LM75)
+ - SD: SD Card memory (SPI and SDIO (SD Card MODE))
+ =================================================================================================================+
+ STM32 EVAL | LED | Buttons | Com Ports | LCD | IOE | sFLASH | sEE | TSENSOR | SD (SPI) | SD(SDIO) |
+ =================================================================================================================+
+ STM3210B-EVAL | 4 | 8 | 2 | YES (SPI) | NO | YES | NO | YES | YES | NO |
+ -----------------------------------------------------------------------------------------------------------------+
+ STM3210E-EVAL | 4 | 8 | 2 | YES (FSMC)| NO | YES | NO | YES | NO | YES |
+ -----------------------------------------------------------------------------------------------------------------+
+ STM3210C-EVAL | 4 | 3 | 1 | YES (SPI) | YES | NO | YES | NO | YES | NO |
+ -----------------------------------------------------------------------------------------------------------------+
+ STM32100B-EVAL | 4 | 8 | 2 | YES (SPI) | NO | YES | NO | YES | YES | NO |
+ -----------------------------------------------------------------------------------------------------------------+
+ STM32L152-EVAL | 4 | 8 | 2 | YES (SPI) | NO | NO | NO | YES | YES | NO |
+ -----------------------------------------------------------------------------------------------------------------+
+ STM32100E-EVAL | 4 | 8 | 2 | YES (FSMC)| YES | YES | YES | YES | YES | NO |
+ =================================================================================================================+
+@endcode
+*/
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32_EVAL_Exported_Types
+ * @{
+ */
+typedef enum
+{
+ LED1 = 0,
+ LED2 = 1,
+ LED3 = 2,
+ LED4 = 3
+} Led_TypeDef;
+
+typedef enum
+{
+ BUTTON_WAKEUP = 0,
+ BUTTON_TAMPER = 1,
+ BUTTON_KEY = 2,
+ BUTTON_RIGHT = 3,
+ BUTTON_LEFT = 4,
+ BUTTON_UP = 5,
+ BUTTON_DOWN = 6,
+ BUTTON_SEL = 7
+} Button_TypeDef;
+
+typedef enum
+{
+ BUTTON_MODE_GPIO = 0,
+ BUTTON_MODE_EXTI = 1
+} ButtonMode_TypeDef;
+
+typedef enum
+{
+ JOY_NONE = 0,
+ JOY_SEL = 1,
+ JOY_DOWN = 2,
+ JOY_LEFT = 3,
+ JOY_RIGHT = 4,
+ JOY_UP = 5
+} JOYState_TypeDef
+;
+
+typedef enum
+{
+ COM1 = 0,
+ COM2 = 1
+} COM_TypeDef;
+/**
+ * @}
+ */
+
+/** @defgroup STM32_EVAL_Exported_Constants
+ * @{
+ */
+
+/**
+ * @brief Uncomment the line corresponding to the STMicroelectronics evaluation
+ * board used in your application.
+ *
+ * Tip: To avoid modifying this file each time you need to switch between these
+ * boards, you can define the board in your toolchain compiler preprocessor.
+ */
+#if !defined (USE_STM32100B_EVAL) && !defined (USE_STM3210B_EVAL) && !defined (USE_STM3210E_EVAL)\
+ && !defined (USE_STM3210C_EVAL) && !defined (USE_STM32L152_EVAL) && !defined (USE_STM32100E_EVAL)
+ //#define USE_STM32100B_EVAL
+ //#define USE_STM3210B_EVAL
+ //#define USE_STM3210E_EVAL
+ //#define USE_STM3210C_EVAL
+ //#define USE_STM32L152_EVAL
+ //#define USE_STM32100E_EVAL
+#endif
+
+#ifdef USE_STM32100B_EVAL
+ #include "stm32f10x.h"
+ #include "stm32100b_eval/stm32100b_eval.h"
+#elif defined USE_STM3210B_EVAL
+ #include "stm32f10x.h"
+ #include "stm3210b_eval/stm3210b_eval.h"
+#elif defined USE_STM3210E_EVAL
+ #include "stm32f10x.h"
+ #include "stm3210e_eval/stm3210e_eval.h"
+#elif defined USE_STM3210C_EVAL
+ #include "stm32f10x.h"
+ #include "stm3210c_eval/stm3210c_eval.h"
+#elif defined USE_STM32L152_EVAL
+ #include "stm32l1xx.h"
+ #include "stm32l152_eval/stm32l152_eval.h"
+#elif defined USE_STM32100E_EVAL
+ #include "stm32f10x.h"
+ #include "stm32100e_eval/stm32100e_eval.h"
+#else
+ #error "Please select first the STM32 EVAL board to be used (in stm32_eval.h)"
+#endif
+
+
+/**
+ * @brief STM32 Button Defines Legacy
+ */
+#define Button_WAKEUP BUTTON_WAKEUP
+#define Button_TAMPER BUTTON_TAMPER
+#define Button_KEY BUTTON_KEY
+#define Button_RIGHT BUTTON_RIGHT
+#define Button_LEFT BUTTON_LEFT
+#define Button_UP BUTTON_UP
+#define Button_DOWN BUTTON_DOWN
+#define Button_SEL BUTTON_SEL
+#define Mode_GPIO BUTTON_MODE_GPIO
+#define Mode_EXTI BUTTON_MODE_EXTI
+#define Button_Mode_TypeDef ButtonMode_TypeDef
+#define JOY_CENTER JOY_SEL
+#define JOY_State_TypeDef JOYState_TypeDef
+
+/**
+ * @brief LCD Defines Legacy
+ */
+#define LCD_RSNWR_GPIO_CLK LCD_NWR_GPIO_CLK
+#define LCD_SPI_GPIO_PORT LCD_SPI_SCK_GPIO_PORT
+#define LCD_SPI_GPIO_CLK LCD_SPI_SCK_GPIO_CLK
+#define R0 LCD_REG_0
+#define R1 LCD_REG_1
+#define R2 LCD_REG_2
+#define R3 LCD_REG_3
+#define R4 LCD_REG_4
+#define R5 LCD_REG_5
+#define R6 LCD_REG_6
+#define R7 LCD_REG_7
+#define R8 LCD_REG_8
+#define R9 LCD_REG_9
+#define R10 LCD_REG_10
+#define R12 LCD_REG_12
+#define R13 LCD_REG_13
+#define R14 LCD_REG_14
+#define R15 LCD_REG_15
+#define R16 LCD_REG_16
+#define R17 LCD_REG_17
+#define R18 LCD_REG_18
+#define R19 LCD_REG_19
+#define R20 LCD_REG_20
+#define R21 LCD_REG_21
+#define R22 LCD_REG_22
+#define R23 LCD_REG_23
+#define R24 LCD_REG_24
+#define R25 LCD_REG_25
+#define R26 LCD_REG_26
+#define R27 LCD_REG_27
+#define R28 LCD_REG_28
+#define R29 LCD_REG_29
+#define R30 LCD_REG_30
+#define R31 LCD_REG_31
+#define R32 LCD_REG_32
+#define R33 LCD_REG_33
+#define R34 LCD_REG_34
+#define R36 LCD_REG_36
+#define R37 LCD_REG_37
+#define R40 LCD_REG_40
+#define R41 LCD_REG_41
+#define R43 LCD_REG_43
+#define R45 LCD_REG_45
+#define R48 LCD_REG_48
+#define R49 LCD_REG_49
+#define R50 LCD_REG_50
+#define R51 LCD_REG_51
+#define R52 LCD_REG_52
+#define R53 LCD_REG_53
+#define R54 LCD_REG_54
+#define R55 LCD_REG_55
+#define R56 LCD_REG_56
+#define R57 LCD_REG_57
+#define R59 LCD_REG_59
+#define R60 LCD_REG_60
+#define R61 LCD_REG_61
+#define R62 LCD_REG_62
+#define R63 LCD_REG_63
+#define R64 LCD_REG_64
+#define R65 LCD_REG_65
+#define R66 LCD_REG_66
+#define R67 LCD_REG_67
+#define R68 LCD_REG_68
+#define R69 LCD_REG_69
+#define R70 LCD_REG_70
+#define R71 LCD_REG_71
+#define R72 LCD_REG_72
+#define R73 LCD_REG_73
+#define R74 LCD_REG_74
+#define R75 LCD_REG_75
+#define R76 LCD_REG_76
+#define R77 LCD_REG_77
+#define R78 LCD_REG_78
+#define R79 LCD_REG_79
+#define R80 LCD_REG_80
+#define R81 LCD_REG_81
+#define R82 LCD_REG_82
+#define R83 LCD_REG_83
+#define R96 LCD_REG_96
+#define R97 LCD_REG_97
+#define R106 LCD_REG_106
+#define R118 LCD_REG_118
+#define R128 LCD_REG_128
+#define R129 LCD_REG_129
+#define R130 LCD_REG_130
+#define R131 LCD_REG_131
+#define R132 LCD_REG_132
+#define R133 LCD_REG_133
+#define R134 LCD_REG_134
+#define R135 LCD_REG_135
+#define R136 LCD_REG_136
+#define R137 LCD_REG_137
+#define R139 LCD_REG_139
+#define R140 LCD_REG_140
+#define R141 LCD_REG_141
+#define R143 LCD_REG_143
+#define R144 LCD_REG_144
+#define R145 LCD_REG_145
+#define R146 LCD_REG_146
+#define R147 LCD_REG_147
+#define R148 LCD_REG_148
+#define R149 LCD_REG_149
+#define R150 LCD_REG_150
+#define R151 LCD_REG_151
+#define R152 LCD_REG_152
+#define R153 LCD_REG_153
+#define R154 LCD_REG_154
+#define R157 LCD_REG_157
+#define R192 LCD_REG_192
+#define R193 LCD_REG_193
+#define R227 LCD_REG_227
+#define R229 LCD_REG_229
+#define R231 LCD_REG_231
+#define R239 LCD_REG_239
+#define White LCD_COLOR_WHITE
+#define Black LCD_COLOR_BLACK
+#define Grey LCD_COLOR_GREY
+#define Blue LCD_COLOR_BLUE
+#define Blue2 LCD_COLOR_BLUE2
+#define Red LCD_COLOR_RED
+#define Magenta LCD_COLOR_MAGENTA
+#define Green LCD_COLOR_GREEN
+#define Cyan LCD_COLOR_CYAN
+#define Yellow LCD_COLOR_YELLOW
+#define Line0 LCD_LINE_0
+#define Line1 LCD_LINE_1
+#define Line2 LCD_LINE_2
+#define Line3 LCD_LINE_3
+#define Line4 LCD_LINE_4
+#define Line5 LCD_LINE_5
+#define Line6 LCD_LINE_6
+#define Line7 LCD_LINE_7
+#define Line8 LCD_LINE_8
+#define Line9 LCD_LINE_9
+#define Horizontal LCD_DIR_HORIZONTAL
+#define Vertical LCD_DIR_VERTICAL
+
+
+/**
+ * @}
+ */
+
+/** @defgroup STM32_EVAL_Exported_Macros
+ * @{
+ */
+/**
+ * @}
+ */
+
+/** @defgroup STM32_EVAL_Exported_Functions
+ * @{
+ */
+/**
+ * @}
+ */
+
+#ifdef __cplusplus
+}
+#endif
+
+
+#endif /* __STM32_EVAL_H */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/**
+ * @}
+ */
+
+/******************* (C) COPYRIGHT 2011 STMicroelectronics *****END OF FILE****/