aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
-rw-r--r--ansible/group_vars/all/ipam.yml4
-rw-r--r--docs/2023-10-23 - tnet.drawio104
2 files changed, 51 insertions, 57 deletions
diff --git a/ansible/group_vars/all/ipam.yml b/ansible/group_vars/all/ipam.yml
index 452a79e..9954a21 100644
--- a/ansible/group_vars/all/ipam.yml
+++ b/ansible/group_vars/all/ipam.yml
@@ -41,6 +41,10 @@ ipam6:
hosts:
lhn2pi: "fdb1:4242:3538:2008::ffff/64"
conflatorio: "fdb1:4242:3538:2008:8042:32ff:fe0c:7161"
+ hash_dn42:
+ range: "fdb1:4242:3538:2009::/64"
+ hosts:
+ hash: "fdb1:4242:3538:2009::ffff/64"
dn42:
range: "fd00::/8"
diff --git a/docs/2023-10-23 - tnet.drawio b/docs/2023-10-23 - tnet.drawio
index e70c06b..80d422c 100644
--- a/docs/2023-10-23 - tnet.drawio
+++ b/docs/2023-10-23 - tnet.drawio
@@ -1,6 +1,6 @@
-<mxfile host="Electron" modified="2024-09-24T18:27:55.770Z" agent="Mozilla/5.0 (X11; Linux x86_64) AppleWebKit/537.36 (KHTML, like Gecko) draw.io/22.0.2 Chrome/114.0.5735.289 Electron/25.8.4 Safari/537.36" etag="dL8LD9q0IqWkwupF_WJs" version="22.0.2" type="device" pages="5">
+<mxfile host="Electron" modified="2024-09-24T19:59:06.897Z" agent="Mozilla/5.0 (X11; Linux x86_64) AppleWebKit/537.36 (KHTML, like Gecko) draw.io/22.0.2 Chrome/114.0.5735.289 Electron/25.8.4 Safari/537.36" etag="s1qdKKbkZVLc-xAnSjrh" version="22.0.2" type="device" pages="5">
<diagram name="tnet" id="BvOL4zu76bRaQ85PTpcJ">
- <mxGraphModel dx="2074" dy="1198" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0">
+ <mxGraphModel dx="2074" dy="1230" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0">
<root>
<mxCell id="0" />
<mxCell id="1" parent="0" />
@@ -458,119 +458,109 @@
</mxGraphModel>
</diagram>
<diagram id="KZKIfHUl2okZInCISHyk" name="tnet - new">
- <mxGraphModel dx="830" dy="479" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0">
+ <mxGraphModel dx="864" dy="513" grid="1" gridSize="10" guides="1" tooltips="1" connect="1" arrows="1" fold="1" page="1" pageScale="1" pageWidth="1169" pageHeight="827" math="0" shadow="0">
<root>
<mxCell id="0" />
<mxCell id="1" parent="0" />
- <mxCell id="rOxvz-1gei3paqyAHtll-16" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-11" target="rOxvz-1gei3paqyAHtll-13">
+ <mxCell id="rOxvz-1gei3paqyAHtll-16" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;" parent="1" source="rOxvz-1gei3paqyAHtll-11" target="rOxvz-1gei3paqyAHtll-13" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-11" value="knot" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" vertex="1" parent="1">
+ <mxCell id="rOxvz-1gei3paqyAHtll-11" value="knot&lt;br&gt;2004::ffff" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=top;html=1;verticalAlign=bottom;aspect=fixed;align=right;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=left;spacing=4;" parent="1" vertex="1">
<mxGeometry x="680" y="160" width="40" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-12" value="dn42" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" vertex="1" parent="1">
+ <mxCell id="rOxvz-1gei3paqyAHtll-12" value="dn42" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" parent="1" vertex="1">
<mxGeometry x="520" y="20" width="120" height="80" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-13" value="hash" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=right;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=left;spacing=4;" vertex="1" parent="1">
+ <mxCell id="rOxvz-1gei3paqyAHtll-13" value="hash&lt;br&gt;2009:ffff" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=top;html=1;verticalAlign=bottom;aspect=fixed;align=right;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=left;spacing=4;" parent="1" vertex="1">
<mxGeometry x="400" y="160" width="40" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-14" value="lhn2ix" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" vertex="1" parent="1">
+ <mxCell id="rOxvz-1gei3paqyAHtll-14" value="lhn2ix" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;labelPosition=right;spacing=4;fillColor=#eeeeee;strokeColor=#36393d;fillStyle=auto;" parent="1" vertex="1">
<mxGeometry x="480" y="480" width="40" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-19" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-15" target="rOxvz-1gei3paqyAHtll-12">
+ <mxCell id="rOxvz-1gei3paqyAHtll-19" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" parent="1" source="rOxvz-1gei3paqyAHtll-15" target="rOxvz-1gei3paqyAHtll-12" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-15" value="routedbits-lon1" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" vertex="1" parent="1">
+ <mxCell id="rOxvz-1gei3paqyAHtll-15" value="routedbits-lon1" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" parent="1" vertex="1">
<mxGeometry x="680" y="40" width="40" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-17" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-11" target="rOxvz-1gei3paqyAHtll-15">
+ <mxCell id="rOxvz-1gei3paqyAHtll-17" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" parent="1" source="rOxvz-1gei3paqyAHtll-11" target="rOxvz-1gei3paqyAHtll-15" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-47" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;entryX=0.416;entryY=0.092;entryDx=0;entryDy=0;entryPerimeter=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-18" target="rOxvz-1gei3paqyAHtll-46">
+ <mxCell id="rOxvz-1gei3paqyAHtll-47" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;entryX=0.498;entryY=0.112;entryDx=0;entryDy=0;entryPerimeter=0;" parent="1" source="rOxvz-1gei3paqyAHtll-18" target="rOxvz-1gei3paqyAHtll-46" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-18" value="lhn2pi" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" vertex="1" parent="1">
- <mxGeometry x="560" y="480" width="40" height="40" as="geometry" />
+ <mxCell id="rOxvz-1gei3paqyAHtll-18" value="lhn2pi" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" parent="1" vertex="1">
+ <mxGeometry x="600" y="480" width="40" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-23" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-20" target="rOxvz-1gei3paqyAHtll-11">
+ <mxCell id="rOxvz-1gei3paqyAHtll-23" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;entryX=0.086;entryY=0.76;entryDx=0;entryDy=0;entryPerimeter=0;" parent="1" source="rOxvz-1gei3paqyAHtll-20" target="rOxvz-1gei3paqyAHtll-11" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-24" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-20" target="rOxvz-1gei3paqyAHtll-13">
+ <mxCell id="rOxvz-1gei3paqyAHtll-24" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;" parent="1" source="rOxvz-1gei3paqyAHtll-20" target="rOxvz-1gei3paqyAHtll-13" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-20" value="node1" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" vertex="1" parent="1">
- <mxGeometry x="200" y="480" width="40" height="40" as="geometry" />
+ <mxCell id="rOxvz-1gei3paqyAHtll-20" value="node1" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" parent="1" vertex="1">
+ <mxGeometry x="160" y="480" width="40" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-22" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-21" target="rOxvz-1gei3paqyAHtll-11">
+ <mxCell id="rOxvz-1gei3paqyAHtll-22" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;entryX=0.122;entryY=0.827;entryDx=0;entryDy=0;entryPerimeter=0;" parent="1" source="rOxvz-1gei3paqyAHtll-21" target="rOxvz-1gei3paqyAHtll-11" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-25" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-21" target="rOxvz-1gei3paqyAHtll-13">
+ <mxCell id="rOxvz-1gei3paqyAHtll-25" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;" parent="1" source="rOxvz-1gei3paqyAHtll-21" target="rOxvz-1gei3paqyAHtll-13" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-21" value="node2" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" vertex="1" parent="1">
- <mxGeometry x="360" y="480" width="40" height="40" as="geometry" />
+ <mxCell id="rOxvz-1gei3paqyAHtll-21" value="node2" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" parent="1" vertex="1">
+ <mxGeometry x="320" y="480" width="40" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-26" value="node1" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" vertex="1" parent="1">
- <mxGeometry x="160" y="560" width="120" height="80" as="geometry" />
+ <mxCell id="rOxvz-1gei3paqyAHtll-26" value="node1&lt;br&gt;2002" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="120" y="560" width="120" height="80" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-27" value="node2" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" vertex="1" parent="1">
- <mxGeometry x="320" y="560" width="120" height="80" as="geometry" />
+ <mxCell id="rOxvz-1gei3paqyAHtll-27" value="node2&lt;br&gt;2003" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="280" y="560" width="120" height="80" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-30" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-21" target="rOxvz-1gei3paqyAHtll-27">
+ <mxCell id="rOxvz-1gei3paqyAHtll-30" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" parent="1" source="rOxvz-1gei3paqyAHtll-21" target="rOxvz-1gei3paqyAHtll-27" edge="1">
<mxGeometry relative="1" as="geometry">
- <mxPoint x="130" y="503" as="sourcePoint" />
- <mxPoint x="660" y="257" as="targetPoint" />
+ <mxPoint x="90" y="503" as="sourcePoint" />
+ <mxPoint x="620" y="257" as="targetPoint" />
</mxGeometry>
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-31" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-20" target="rOxvz-1gei3paqyAHtll-26">
+ <mxCell id="rOxvz-1gei3paqyAHtll-31" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" parent="1" source="rOxvz-1gei3paqyAHtll-20" target="rOxvz-1gei3paqyAHtll-26" edge="1">
<mxGeometry relative="1" as="geometry">
- <mxPoint x="240" y="519" as="sourcePoint" />
- <mxPoint x="159" y="531" as="targetPoint" />
+ <mxPoint x="200" y="519" as="sourcePoint" />
+ <mxPoint x="119" y="531" as="targetPoint" />
</mxGeometry>
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-43" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-32" target="rOxvz-1gei3paqyAHtll-40">
+ <mxCell id="rOxvz-1gei3paqyAHtll-43" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" parent="1" source="rOxvz-1gei3paqyAHtll-32" target="rOxvz-1gei3paqyAHtll-40" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-44" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-32" target="rOxvz-1gei3paqyAHtll-11">
+ <mxCell id="rOxvz-1gei3paqyAHtll-44" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;spacing=4;entryX=0.805;entryY=0.904;entryDx=0;entryDy=0;entryPerimeter=0;exitX=0.211;exitY=0.092;exitDx=0;exitDy=0;exitPerimeter=0;" parent="1" source="rOxvz-1gei3paqyAHtll-32" target="rOxvz-1gei3paqyAHtll-11" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-32" value="coregonus" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" vertex="1" parent="1">
+ <mxCell id="rOxvz-1gei3paqyAHtll-32" value="coregonus" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;snapToPoint=0;" parent="1" vertex="1">
<mxGeometry x="920" y="480" width="40" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-36" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-34" target="rOxvz-1gei3paqyAHtll-35">
- <mxGeometry relative="1" as="geometry" />
- </mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-48" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;exitX=0.145;exitY=0.855;exitDx=0;exitDy=0;exitPerimeter=0;entryX=0.88;entryY=0.25;entryDx=0;entryDy=0;entryPerimeter=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-34" target="rOxvz-1gei3paqyAHtll-46">
- <mxGeometry relative="1" as="geometry" />
- </mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-34" value="conflatorio" style="sketch=0;points=[[0.5,0,0],[1,0.5,0],[0.5,1,0],[0,0.5,0],[0.145,0.145,0],[0.8555,0.145,0],[0.855,0.8555,0],[0.145,0.855,0]];verticalLabelPosition=middle;html=1;verticalAlign=middle;aspect=fixed;align=left;pointerEvents=1;shape=mxgraph.cisco19.rect;prIcon=router;fillColor=#FAFAFA;strokeColor=#005073;labelPosition=right;spacing=4;" vertex="1" parent="1">
- <mxGeometry x="720" y="480" width="40" height="40" as="geometry" />
- </mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-35" value="2001" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" vertex="1" parent="1">
- <mxGeometry x="680" y="560" width="120" height="80" as="geometry" />
- </mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-38" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-37" target="rOxvz-1gei3paqyAHtll-35">
- <mxGeometry relative="1" as="geometry" />
+ <mxCell id="rOxvz-1gei3paqyAHtll-38" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" parent="1" source="rOxvz-1gei3paqyAHtll-37" target="rOxvz-1gei3paqyAHtll-46" edge="1">
+ <mxGeometry relative="1" as="geometry">
+ <mxPoint x="780" y="640" as="targetPoint" />
+ </mxGeometry>
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-37" value="danneri" style="rounded=1;whiteSpace=wrap;html=1;" vertex="1" parent="1">
- <mxGeometry x="700" y="680" width="80" height="40" as="geometry" />
+ <mxCell id="rOxvz-1gei3paqyAHtll-37" value="danneri" style="rounded=1;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="580" y="680" width="80" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-39" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;exitX=0.5;exitY=0;exitDx=0;exitDy=0;exitPerimeter=0;spacing=4;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-18" target="rOxvz-1gei3paqyAHtll-11">
+ <mxCell id="rOxvz-1gei3paqyAHtll-39" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;exitX=0.5;exitY=0;exitDx=0;exitDy=0;exitPerimeter=0;spacing=4;entryX=0.374;entryY=0.976;entryDx=0;entryDy=0;entryPerimeter=0;" parent="1" source="rOxvz-1gei3paqyAHtll-18" target="rOxvz-1gei3paqyAHtll-11" edge="1">
<mxGeometry relative="1" as="geometry">
<mxPoint x="490" y="200" as="targetPoint" />
</mxGeometry>
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-40" value="2005" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" vertex="1" parent="1">
+ <mxCell id="rOxvz-1gei3paqyAHtll-40" value="2005" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" parent="1" vertex="1">
<mxGeometry x="880" y="560" width="120" height="80" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-45" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" edge="1" parent="1" source="rOxvz-1gei3paqyAHtll-41" target="rOxvz-1gei3paqyAHtll-40">
+ <mxCell id="rOxvz-1gei3paqyAHtll-45" style="rounded=0;orthogonalLoop=1;jettySize=auto;html=1;endArrow=none;endFill=0;" parent="1" source="rOxvz-1gei3paqyAHtll-41" target="rOxvz-1gei3paqyAHtll-40" edge="1">
<mxGeometry relative="1" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-41" value="akili" style="rounded=1;whiteSpace=wrap;html=1;" vertex="1" parent="1">
+ <mxCell id="rOxvz-1gei3paqyAHtll-41" value="akili" style="rounded=1;whiteSpace=wrap;html=1;" parent="1" vertex="1">
<mxGeometry x="900" y="680" width="80" height="40" as="geometry" />
</mxCell>
- <mxCell id="rOxvz-1gei3paqyAHtll-46" value="??" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" vertex="1" parent="1">
- <mxGeometry x="530" y="570" width="120" height="80" as="geometry" />
+ <mxCell id="rOxvz-1gei3paqyAHtll-46" value="2008" style="ellipse;shape=cloud;whiteSpace=wrap;html=1;" parent="1" vertex="1">
+ <mxGeometry x="560" y="560" width="120" height="80" as="geometry" />
</mxCell>
</root>
</mxGraphModel>