summaryrefslogtreecommitdiff
path: root/testing/examples/ledtest-imx31pdk/ldscript
diff options
context:
space:
mode:
authoroharboe <oharboe@b42882b7-edfa-0310-969c-e2dbd0fdcd60>2009-01-14 19:30:51 +0000
committeroharboe <oharboe@b42882b7-edfa-0310-969c-e2dbd0fdcd60>2009-01-14 19:30:51 +0000
commitd8490491e672cef628528904df559cdc618bbfd7 (patch)
treece95961bf9f71b69ee0dba649c184f9d91da8584 /testing/examples/ledtest-imx31pdk/ldscript
parentbea9789cc638d30710e0b0728428a8bde9b4fd01 (diff)
downloadopenocd+libswd-d8490491e672cef628528904df559cdc618bbfd7.tar.gz
openocd+libswd-d8490491e672cef628528904df559cdc618bbfd7.tar.bz2
openocd+libswd-d8490491e672cef628528904df559cdc618bbfd7.tar.xz
openocd+libswd-d8490491e672cef628528904df559cdc618bbfd7.zip
Alan Carvalho de Assis <acassis@gmail.com> - testcase
git-svn-id: svn://svn.berlios.de/openocd/trunk@1319 b42882b7-edfa-0310-969c-e2dbd0fdcd60
Diffstat (limited to 'testing/examples/ledtest-imx31pdk/ldscript')
-rw-r--r--testing/examples/ledtest-imx31pdk/ldscript18
1 files changed, 18 insertions, 0 deletions
diff --git a/testing/examples/ledtest-imx31pdk/ldscript b/testing/examples/ledtest-imx31pdk/ldscript
new file mode 100644
index 00000000..1baea1ef
--- /dev/null
+++ b/testing/examples/ledtest-imx31pdk/ldscript
@@ -0,0 +1,18 @@
+SECTIONS
+{
+ . = 0x80000100;
+ .text : { *(.text) }
+ .data ALIGN(0x10): { *(.data) }
+ .bss ALIGN(0x10): {
+ __bss_start__ = ABSOLUTE(.);
+ *(.bss)
+ . += 0x100;
+ }
+ __bss_end__ = .;
+PROVIDE (__stack = .);
+ _end = .;
+ .debug_info 0 : { *(.debug_info) }
+ .debug_abbrev 0 : { *(.debug_abbrev) }
+ .debug_line 0 : { *(.debug_line) }
+ .debug_frame 0 : { *(.debug_frame) }
+}