summaryrefslogtreecommitdiff
path: root/vhdl/ice_tb.vhd
diff options
context:
space:
mode:
authorTrygve Laugstøl <trygvis@inamo.no>2013-02-16 13:25:42 +0100
committerTrygve Laugstøl <trygvis@inamo.no>2013-02-16 13:25:42 +0100
commita564ed823c255a95cff143cf02757cdbf55f14f9 (patch)
tree30197dff100ba4f387e81a04c1dae02623d8eec6 /vhdl/ice_tb.vhd
parent5661db2413500c0c30f6646d22c929bf46a1d2b0 (diff)
downloadrom-emulator-a564ed823c255a95cff143cf02757cdbf55f14f9.tar.gz
rom-emulator-a564ed823c255a95cff143cf02757cdbf55f14f9.tar.bz2
rom-emulator-a564ed823c255a95cff143cf02757cdbf55f14f9.tar.xz
rom-emulator-a564ed823c255a95cff143cf02757cdbf55f14f9.zip
o Using a record for the mcu bus.
Diffstat (limited to 'vhdl/ice_tb.vhd')
-rw-r--r--vhdl/ice_tb.vhd28
1 files changed, 28 insertions, 0 deletions
diff --git a/vhdl/ice_tb.vhd b/vhdl/ice_tb.vhd
new file mode 100644
index 0000000..dae94f4
--- /dev/null
+++ b/vhdl/ice_tb.vhd
@@ -0,0 +1,28 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use work.mcu.all;
+
+entity ice_tb is
+end;
+
+architecture behavior of ice_tb is
+ signal mcu_in : mcu_in;
+ signal bit_out : std_logic;
+ signal oe : std_logic := disable;
+ signal ce : std_logic := enable;
+ signal we : std_logic := disable;
+begin
+ ice : entity work.ice port map(
+ mcu_in, bit_out, oe, ce, we
+ );
+
+ stimulus : process
+ begin
+ mcu_in <= mcu_in_initial;
+
+ write_ram(mcu_in, we, "10100101", "0000000000000001");
+ wait;
+ end process;
+
+end;