summaryrefslogtreecommitdiff
path: root/src/target
Commit message (Collapse)AuthorAgeFilesLines
...
* David Kuehling <dvdkhlng@gmx.de> - added jim-eventloop.coharboe2008-08-074-1/+44
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@898 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* lpc2148 2MHz, RCLK and clockless config script versionsoharboe2008-08-075-5/+12
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@897 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - fix target_examine declarationntfreak2008-08-063-5/+5
| | | | | | | | - remove build warnings - added --enable-gccwarnings to docs - update mips_m4k_examine function git-svn-id: svn://svn.berlios.de/openocd/trunk@893 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* gaffe in previous commit fixed. "reset run" now works again for arm7/9oharboe2008-08-051-1/+1
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@891 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* Duane Ellis: fix warningsoharboe2008-08-0513-26/+28
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@890 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* halting srst_pulls_trst targets is now snappier and has explicit warning.oharboe2008-08-051-0/+12
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@889 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* define resetting the target into the halted or runningoharboe2008-08-056-39/+37
| | | | | | state as an atomic operation. git-svn-id: svn://svn.berlios.de/openocd/trunk@888 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* moves handling of problems with resetting into the halted stateoharboe2008-08-0512-45/+51
| | | | | | | | | | | | into the target implementation. Also target_process_reset() is now simpler and has error handling, e.g. if assert reset fails, then target_process_reset() will propagate that error. cmd_ctx was passed in to examine(), which is wrong - removed that. git-svn-id: svn://svn.berlios.de/openocd/trunk@887 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* The reset routine now works w/LPC2148 out of the box.oharboe2008-08-011-11/+5
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@885 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* wait up to 1 second for halted state upon reset init/halt.oharboe2008-07-311-4/+8
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@884 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* allow minidrivers to implement inner loop of dcc memory writesoharboe2008-07-283-407/+406
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@879 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* fixed gaffe mea culpaoharboe2008-07-282-7/+12
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@878 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* retired reset run_and_init/haltoharboe2008-07-2829-470/+337
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@877 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* add check for target_read/write_buffer 32 bit wrap.oharboe2008-07-261-3/+17
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@875 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - merged mips target into svn trunkntfreak2008-07-2611-4/+2399
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@874 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* minimum address and maximum length argument to load_image. Used in lieu of ↵oharboe2008-07-251-11/+49
| | | | | | reset init script when executable w/reset init sequence is available. git-svn-id: svn://svn.berlios.de/openocd/trunk@873 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* added yours sincerely for files where I feel that I've made non-trivial ↵oharboe2008-07-2514-0/+42
| | | | | | contributions. git-svn-id: svn://svn.berlios.de/openocd/trunk@872 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* retire daemon_startupoharboe2008-07-2411-45/+4
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@868 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* wait 500ms for target to halt upon connect.oharboe2008-07-242-5/+5
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@865 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - fix typontfreak2008-07-231-1/+1
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@862 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - jtag_khz/speed are now single parameter only. These are usedoharboe2008-07-2114-88/+112
| | | | | | | | | | | | | | | | | | | | | from pre/post_reset event scripts. Adding the second parameter was a mistake seen in retrospect. this gives precise control in post_reset for *when* the post reset speed is set. The pre_reset event was added *after* the second parameter to jtag_khz/speed - the target implementations no longer gets involved in the reset mode scheme. Either they reset a target into a halted mode or not. target_process_reset() detects if the reset halt failed or not. - tcl target event names are now target_N_name. Mainly internal at this early stage, but best to get the naming right now. - added hardcoded reset modes from gdb_server.c. I don't know precisely what these defaults should be or if it should be made configurable. Perhaps some hardcoded defaults will do for now and it can be made configurable later. - bugfix in cortex_m3.c for reset_run_and_xxx? - issue syntax error upon obsolete argument in target command instead of printing message that will surely drown in the log git-svn-id: svn://svn.berlios.de/openocd/trunk@849 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* use reset init explicitly before "load".oharboe2008-07-211-1/+1
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@847 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* openocd@duaneellis.com fix naming confusion. Use ocd_ prefix for ocd API ↵oharboe2008-07-201-2/+2
| | | | | | consistently. git-svn-id: svn://svn.berlios.de/openocd/trunk@839 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* clarify error message w.r.t. not being able to set breakpointoharboe2008-07-191-2/+2
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@836 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* remove obsolete reset_mode oharboe2008-07-1830-31/+31
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@833 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* "reset" without arguments now execute a "reset run".oharboe2008-07-181-13/+22
| | | | | | the reset mode argument to the target command is deprecated(ignored w/error message). git-svn-id: svn://svn.berlios.de/openocd/trunk@832 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - fix incorrectly registered function openocd_array2memntfreak2008-07-182-7/+6
| | | | | | | | | - removed unused variables - reformatted lpc288x.[ch] - fixed helper/Makefile.am dependencies - add correct svn props to added files git-svn-id: svn://svn.berlios.de/openocd/trunk@829 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* resume is now asynchronousoharboe2008-07-181-18/+4
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@828 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* 1. GDB will print cryptic error messages if it is not fed keep-alive packetsoharboe2008-07-181-1/+25
| | | | | | | | | | | | | | | | | | within the last 2000ms. To fix this, add keep_alive() if you are spending >1000ms in an algorithm thus holding up the server loop. target_call_timer_callbacks() invokes keep_alive(). 2. post_reset script is now executed at normal JTAG speed and not reset speed. 3. Resume is now synchronous again. Hopefully it will work this time. git-svn-id: svn://svn.berlios.de/openocd/trunk@826 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* Duane Ellis <openocd@duaneellis.com> more interface files.oharboe2008-07-182-1/+6
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@825 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* Charles Hardin <ckhardin@gmail.com> move tcl stuff nearer to where it belongs.oharboe2008-07-181-2/+359
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@824 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* added missing "reset+load" sequence.oharboe2008-07-181-0/+3
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@823 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* Charles Hardin <ckhardin@gmail.com> and Øyvind Harboeoharboe2008-07-172-56/+1
| | | | | | | | | This patch just uses the command.c interface to create tcl commands for the root level commands and avoids a bit of the "TCL" bleed into the rest of the openocd code. Multilevel commands also supported. git-svn-id: svn://svn.berlios.de/openocd/trunk@818 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - reverted resume_target to old behaviourntfreak2008-07-171-19/+0
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@817 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* better error messages for target event scripts.oharboe2008-07-161-39/+0
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@810 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - retired variable stuff. oharboe2008-07-111-1/+2
| | | | | | | | - drscan is now a low level tcl command: execute DR scan <device> <num_bits> <value> <num_bits1> <value2> - removed obsolete partial command text support git-svn-id: svn://svn.berlios.de/openocd/trunk@791 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* .cfg files are now executed as Jim Tcl. Commands that terminate script ↵oharboe2008-07-111-3/+22
| | | | | | w/error message. git-svn-id: svn://svn.berlios.de/openocd/trunk@790 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - adding missing install entry for luminary-libftdi.cfg ntfreak2008-07-091-2/+2
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@780 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - added luminary libftdi interface configntfreak2008-07-092-1/+7
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@778 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - only check normal resume, not debug resumentfreak2008-07-092-11/+13
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@777 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - target_resume is now synchronous with 5sec timeoutntfreak2008-07-091-1/+23
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@775 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* tcl regression fixes.oharboe2008-07-081-1/+1
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@774 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - removed target_process_events as only used in handle_resume_command and ↵ntfreak2008-07-081-9/+0
| | | | | | events will be called anyway by poll git-svn-id: svn://svn.berlios.de/openocd/trunk@772 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - fix pre_resume script not being calledntfreak2008-07-082-14/+5
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@771 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* reset event is synonym for post_reset event. oharboe2008-07-071-2/+9
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@769 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - fixed build issues with win32ntfreak2008-07-061-1/+0
| | | | | | | - fixed build warnings for last commit - set svn props for last commit git-svn-id: svn://svn.berlios.de/openocd/trunk@760 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* src/helper/configuration.hoharboe2008-07-062-71/+24
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | - Log output handlers now get a "const char *line" - Added "const" to parameter. src/helper/command.c src/helper/command.h - New function: 'command_output_text()' - Log output handlers now get a "const char *line" src/helper/options.c - Log output handlers now get a "const char *line" src/server/telnet_server.c - DO not transmit NULL bytes via TELNET. - Log output handlers now get a "const char *line" src/server/gdb_server.c - Log output handlers now get a "const char *line" *** THIS INCLUDES PORTIONS OF A PATCH FROM Oyvind sent previously to the mailing list for TCL users try src/target/target.c *** THIS INCLUDES PORTIONS OF A PATCH FROM Oyvind sent previously to the mailing list for TCL users try src/target/target.h *** THIS INCLUDES PORTIONS OF A PATCH FROM Oyvind sent previously to the mailing list for TCL users try src/openocd.c - **MAJOR** Work: New TCL/Jim function: mem2array - **MAJOR** Work: Redirect Tcl/Jim stdio output to remote users. - Previously: TCL output did not go to GDB. - Previously: TCL output did not go to TELNET - Previously: TCL output only goes to control console. - This fixes that problem. + Created callbacks: +openocd_jim_fwrite() +openocd_jim_fread() +openocd_jim_vfprintf() +openocd_jim_fflush() +openocd_jim_fgets() src/Makefile.am - New TCL files. - Future note: This should be more automated. As the list of 'tcl' files grows maintaning this list will suck. src/Jim.c - ** THIS INCLUDES A PREVIOUS PATCH I SENT EARLIER ** - that impliments many [format] specifies JIM did not support. - Jim_FormatString() - **MAJOR** work. - Previously only supported "%s" "%d" and "%c" - And what support existed had bugs. - NEW: *MANY* formating parameters are now supported. - TODO: The "precision" specifier is not supported. ** NEW ** This patch. - Jim_StringToWide() test if no conversion occured. - Jim_StringToIndex() test if no conversion occured. - Jim_StringToDouble() test if no conversion occured. ** NEW ** This Patch. Major Work. - Previously output from JIM did not get sent to GDB - Ditto: Output to Telnet session. - Above items are now fixed - By introducing callbacks new function pointers in the "interp" structure. - Helpers that call the callbacks. - New function: Jim_fprintf() - New function: Jim_vfprintf() - New function: Jim_fwrite() - New function: Jim_fread() - New function: Jim_fflush() - New function: Jim_fgets() By default: the output is to STDIO as previous. The "openocd.c" - redirects the output as needed. - Jim_Panic() - Send panic to both STDERR and the interps specified STDERR output as a 2nd choice. - Now JIM's "stdin/stdout/stderr" paramters are "void *" and are no longer "FILE *". src/Jim.h - **MAJOR** - New JIM STDIO callbacks in the "interp" structure. - change: "stdin/stdout/stderr" are now "void *" cookies. - New JIM stdio helper functions. git-svn-id: svn://svn.berlios.de/openocd/trunk@755 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - added event scripts for str73x and str75x targetsntfreak2008-07-046-8/+10
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@751 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - added jtag_khz to target scriptsntfreak2008-07-049-3/+34
| | | | git-svn-id: svn://svn.berlios.de/openocd/trunk@750 b42882b7-edfa-0310-969c-e2dbd0fdcd60
* - fixed line endings with commands.tclntfreak2008-07-041-55/+55
| | | | | | - added svn props for newly added files git-svn-id: svn://svn.berlios.de/openocd/trunk@749 b42882b7-edfa-0310-969c-e2dbd0fdcd60